TWI662360B - 藉由使用光劑之臨界尺寸控制 - Google Patents
藉由使用光劑之臨界尺寸控制 Download PDFInfo
- Publication number
- TWI662360B TWI662360B TW106115728A TW106115728A TWI662360B TW I662360 B TWI662360 B TW I662360B TW 106115728 A TW106115728 A TW 106115728A TW 106115728 A TW106115728 A TW 106115728A TW I662360 B TWI662360 B TW I662360B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- cover layer
- substrate
- patterning
- exposing
- Prior art date
Links
- 238000000034 method Methods 0.000 claims abstract description 128
- 239000000758 substrate Substances 0.000 claims abstract description 87
- 239000000463 material Substances 0.000 claims abstract description 54
- 239000002253 acid Substances 0.000 claims abstract description 43
- 230000005855 radiation Effects 0.000 claims abstract description 42
- 150000001875 compounds Chemical class 0.000 claims abstract description 30
- 230000005670 electromagnetic radiation Effects 0.000 claims abstract description 29
- 239000003504 photosensitizing agent Substances 0.000 claims abstract description 24
- 238000011161 development Methods 0.000 claims description 63
- 238000000059 patterning Methods 0.000 claims description 30
- 238000012545 processing Methods 0.000 claims description 19
- 238000007689 inspection Methods 0.000 claims description 18
- 238000005259 measurement Methods 0.000 claims description 18
- 238000005530 etching Methods 0.000 claims description 17
- 238000001459 lithography Methods 0.000 claims description 10
- 238000010438 heat treatment Methods 0.000 claims description 7
- 239000003795 chemical substances by application Substances 0.000 claims 1
- 230000008569 process Effects 0.000 description 66
- 230000008859 change Effects 0.000 description 42
- 235000012431 wafers Nutrition 0.000 description 37
- 239000011248 coating agent Substances 0.000 description 17
- 238000000576 coating method Methods 0.000 description 17
- 229920002120 photoresistant polymer Polymers 0.000 description 16
- 238000010511 deprotection reaction Methods 0.000 description 12
- 239000002585 base Substances 0.000 description 11
- 238000000206 photolithography Methods 0.000 description 8
- 238000012937 correction Methods 0.000 description 6
- 239000010408 film Substances 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- 238000005406 washing Methods 0.000 description 6
- 239000000126 substance Substances 0.000 description 5
- 125000005409 triarylsulfonium group Chemical group 0.000 description 5
- YVGGHNCTFXOJCH-UHFFFAOYSA-N DDT Chemical compound C1=CC(Cl)=CC=C1C(C(Cl)(Cl)Cl)C1=CC=C(Cl)C=C1 YVGGHNCTFXOJCH-UHFFFAOYSA-N 0.000 description 4
- 238000010521 absorption reaction Methods 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 238000002716 delivery method Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 238000004886 process control Methods 0.000 description 4
- 230000009467 reduction Effects 0.000 description 4
- 238000011217 control strategy Methods 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 239000002904 solvent Substances 0.000 description 3
- DEIGXXQKDWULML-UHFFFAOYSA-N 1,2,5,6,9,10-hexabromocyclododecane Chemical compound BrC1CCC(Br)C(Br)CCC(Br)C(Br)CCC1Br DEIGXXQKDWULML-UHFFFAOYSA-N 0.000 description 2
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- DKPFZGUDAPQIHT-UHFFFAOYSA-N butyl acetate Chemical compound CCCCOC(C)=O DKPFZGUDAPQIHT-UHFFFAOYSA-N 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 125000000068 chlorophenyl group Chemical group 0.000 description 2
- 238000007654 immersion Methods 0.000 description 2
- YFSUTJLHUFNCNZ-UHFFFAOYSA-N perfluorooctane-1-sulfonic acid Chemical compound OS(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F YFSUTJLHUFNCNZ-UHFFFAOYSA-N 0.000 description 2
- YNPNZTXNASCQKK-UHFFFAOYSA-N phenanthrene Chemical compound C1=CC=C2C3=CC=CC=C3C=CC2=C1 YNPNZTXNASCQKK-UHFFFAOYSA-N 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 230000009885 systemic effect Effects 0.000 description 2
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 2
- -1 triarylsulfonium hexafluoroantimonate salt Chemical class 0.000 description 2
- 238000009966 trimming Methods 0.000 description 2
- LWHOMMCIJIJIGV-UHFFFAOYSA-N (1,3-dioxobenzo[de]isoquinolin-2-yl) trifluoromethanesulfonate Chemical compound C1=CC(C(N(OS(=O)(=O)C(F)(F)F)C2=O)=O)=C3C2=CC=CC3=C1 LWHOMMCIJIJIGV-UHFFFAOYSA-N 0.000 description 1
- VLLPVDKADBYKLM-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate;triphenylsulfanium Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 VLLPVDKADBYKLM-UHFFFAOYSA-M 0.000 description 1
- WRQROVPSTYSDFL-UHFFFAOYSA-N 1-methoxy-4-[2,2,2-tris(chloranyl)-1-(4-methoxyphenyl)ethyl]benzene Chemical compound C1=CC(OC)=CC=C1C(C(Cl)(Cl)Cl)C1=CC=C(OC)C=C1.C1=CC(OC)=CC=C1C(C(Cl)(Cl)Cl)C1=CC=C(OC)C=C1 WRQROVPSTYSDFL-UHFFFAOYSA-N 0.000 description 1
- DTPMMTAHTXQPRM-UHFFFAOYSA-N BrCCCCCCCCCCBr.BrCCCCCCCCCCBr Chemical compound BrCCCCCCCCCCBr.BrCCCCCCCCCCBr DTPMMTAHTXQPRM-UHFFFAOYSA-N 0.000 description 1
- GXKDLFJTKMEIGE-UHFFFAOYSA-N ClC1=CC=C(C=C1)C(C(Cl)Cl)C1=CC=C(C=C1)Cl.ClC1=CC=C(C=C1)C(C(Cl)Cl)C1=CC=C(C=C1)Cl Chemical compound ClC1=CC=C(C=C1)C(C(Cl)Cl)C1=CC=C(C=C1)Cl.ClC1=CC=C(C=C1)C(C(Cl)Cl)C1=CC=C(C=C1)Cl GXKDLFJTKMEIGE-UHFFFAOYSA-N 0.000 description 1
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 1
- DBWMXUFTTMJAJO-UHFFFAOYSA-L [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.[O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.c1ccc(cc1)[S+](c1ccccc1)c1ccccc1.c1ccc(cc1)[S+](c1ccccc1)c1ccccc1 Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.[O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.c1ccc(cc1)[S+](c1ccccc1)c1ccccc1.c1ccc(cc1)[S+](c1ccccc1)c1ccccc1 DBWMXUFTTMJAJO-UHFFFAOYSA-L 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 239000003513 alkali Substances 0.000 description 1
- PYKYMHQGRFAEBM-UHFFFAOYSA-N anthraquinone Natural products CCC(=O)c1c(O)c2C(=O)C3C(C=CC=C3O)C(=O)c2cc1CC(=O)OC PYKYMHQGRFAEBM-UHFFFAOYSA-N 0.000 description 1
- 150000004056 anthraquinones Chemical class 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 description 1
- 239000012965 benzophenone Substances 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 125000002529 biphenylenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3C12)* 0.000 description 1
- 239000012952 cationic photoinitiator Substances 0.000 description 1
- 238000005253 cladding Methods 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000005281 excited state Effects 0.000 description 1
- YLQWCDOCJODRMT-UHFFFAOYSA-N fluoren-9-one Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3C2=C1 YLQWCDOCJODRMT-UHFFFAOYSA-N 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 230000005283 ground state Effects 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000002715 modification method Methods 0.000 description 1
- 230000003472 neutralizing effect Effects 0.000 description 1
- DCUJJWWUNKIJPH-UHFFFAOYSA-N nitrapyrin Chemical compound ClC1=CC=CC(C(Cl)(Cl)Cl)=N1 DCUJJWWUNKIJPH-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000010355 oscillation Effects 0.000 description 1
- 239000000906 photoactive agent Substances 0.000 description 1
- 230000003300 photodamaging effect Effects 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000011165 process development Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 230000009291 secondary effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 238000005549 size reduction Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- YBNLWIZAWPBUKQ-UHFFFAOYSA-N trichloro(trichloromethylsulfonyl)methane Chemical compound ClC(Cl)(Cl)S(=O)(=O)C(Cl)(Cl)Cl YBNLWIZAWPBUKQ-UHFFFAOYSA-N 0.000 description 1
- 125000003866 trichloromethyl group Chemical group ClC(Cl)(Cl)* 0.000 description 1
- ITMCEJHCFYSIIV-UHFFFAOYSA-M triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-M 0.000 description 1
- WLOQLWBIJZDHET-UHFFFAOYSA-N triphenylsulfonium Chemical class C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 WLOQLWBIJZDHET-UHFFFAOYSA-N 0.000 description 1
- FAYMLNNRGCYLSR-UHFFFAOYSA-M triphenylsulfonium triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 FAYMLNNRGCYLSR-UHFFFAOYSA-M 0.000 description 1
- 238000002211 ultraviolet spectrum Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0035—Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2051—Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2051—Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
- G03F7/2053—Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
- G03F7/405—Treatment with inorganic or organometallic reagents after imagewise removal
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70425—Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
- G03F7/70466—Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/7055—Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
- G03F7/70558—Dose control, i.e. achievement of a desired dose
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Chemical & Material Sciences (AREA)
- Optics & Photonics (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
本揭露內容提供一種用於臨界尺寸控制的方法,其中,接收具有下方層及形成於下方層上之圖案化層的基板,該圖案化層包含輻射敏感材料、及具有不同高度以及第一臨界尺寸的圖案。該方法更包含在圖案化層上施加覆蓋層,該覆蓋層含有選自以下者的光劑:光敏劑產生劑化合物、光敏劑化合物、光酸產生劑化合物、光活化劑、含酸化合物、或以上二或更多者的組合。然後將覆蓋層曝露至電磁輻射,其中施加至基板之不同區域之電磁輻射的劑量係不同的,以及然後加熱覆蓋層及圖案化層。方法更包含使覆蓋層及圖案化層顯影,以使圖案化層的第一臨界尺寸轉變成第二臨界尺寸。
Description
本發明相關於用以改善臨界尺寸(CD, critical dimension)均勻性的特定位置臨界尺寸改變/校正流程及製程。相關申請案之交互參考
本申請案主張2016年5月13日申請、名為「Critical Dimension Control by Use of a Photo-Active Agent」之美國臨時專利申請案第62/335,991號的權利,其係整體併入於此,以供參考。
本文中所揭露之技術與微製造有關,且尤其與光微影及圖案化製程有關。在材料處理的方法(如光微影)中,產生圖案化層通常涉及施加例如光阻之輻射敏感材料的薄層至基板的表面。將此輻射敏感材料轉變成可用以將圖案蝕刻或轉移至基板上之下方層中的圖案化遮罩。輻射敏感材料的圖案化一般涉及例如利用光微影系統、透過倍縮遮罩(及相關光學元件)、藉由輻射源往輻射敏感材料上的曝光。如此之曝光在輻射敏感材料內產生後續可進行顯影的潛在圖像或圖案。特定波長的光導致輻射敏感材料的曝光部分藉由變得可溶解或不可溶解而改變其對特定溶劑的溶解度。顯影是指使輻射敏感材料的一部分溶解及移除,以產生表面形貌圖案或實體圖案(亦即,起伏圖案)。例如,顯影可包含利用顯影溶劑移除輻射敏感材料的被照射區域(如在正型光阻的情形中)、或未被照射區域(如在負型光阻的情形中)。然後起伏圖案可用作後續處理的遮罩層。
當產業縮減持續將最小特徵部尺寸推向越來越小的CD,且在EUV(13.5nm)之延遲及潛在高成本的情形下,產業已尋找在基礎構造及專門技術兩者上進一步延伸製程之當前ArF(193nm)浸入(ArFi, ArF immersion)掃描器系統的製程。在解析度受限的光阻特徵部附近處,習知的光微影後ArFi的CD改變(如縮減/縮窄)係一種如此之延伸。在大約當前CD目標改善晶圓範圍內之臨界尺寸均勻性(CDU, critical dimension uniformity)的能力、及/或在受控制製程中改變孔、凹槽、及/或線之CD的能力在單圖案化、及雙重圖案化/多重圖案化方案、及側壁間隔件的前驅物中具有當前及未來的用途,在單圖案化中係例如在閘極層於稍微較不進步的節距上具有極小特徵部的邏輯設計中,在雙重圖案化/多重圖案化方案係例如在微影-蝕刻-微影-蝕刻(LELE, Litho-Etch-Litho-Etch)、或重複「n」次的微影-蝕刻(LEn
)、微影-微影-蝕刻(LLE)中。
CD改變製程歷來地已藉由3種方法達成。第一CD改變方法針對線利用光微影後基於蝕刻的電漿修整製程(或者,孔或凹槽的斜錐面蝕刻製程),其中,製程流程包含塗佈→曝光→曝光後烘烤(PEB, Post Exposure Bake)→顯影(標稱溫度)→蝕刻修整/縮減。更最近地,已提出第二CD改變方法,其為濕式製程,其中在微影單元中執行額外的處理步驟,例如正型熱顯影(>30℃)製程、或酸沖洗/酸沖洗烘烤製程、或二者的結合。熱顯影製程將顯影停止的去保護層級轉變為較低的去保護層級。正型熱顯影製程流程包含塗佈→曝光→PEB→正型顯影(標稱溫度) →正型熱顯影(>30℃)。酸沖洗/酸沖洗烘烤製程將具有經第一顯影特徵部之基底內的去保護層級轉變為較高的層級,從而容許第二顯影製程利用標準或經調整顯影溶液來改變特徵部的CD。酸沖洗/酸沖洗烘烤製程流程包含塗佈→曝光→PEB→正型顯影(標稱溫度)→酸沖洗→酸沖洗烘烤→正型顯影(標稱溫度)。組合製程流程包含塗佈→曝光→PEB→正型顯影(標稱溫度)→正型熱顯影(>30℃)→酸沖洗→酸沖洗烘烤→正型顯影(標稱溫度)。甚至更最近地,已提出亦為濕式製程的第三CD改變方法,其中在第二顯影之前利用額外的處理步驟(例如,不特定於位置的泛光曝光及烘烤)來使膜層達成完全或接近完全的去保護狀態,此時顯影係由顯影時間控制。製程流程包含塗佈→曝光→PEB→正型顯影(標稱溫度)→泛光曝光→泛光烘烤→第二顯影。
以上濕式製程範例係諸多方式的子集,其中,歷來已提出濕式製程CD改變。
第一CD改變方法(其係基於蝕刻的電漿方法)由於缺少任何表面張力效應(其出現於濕式處理中)而具有圖案崩塌之低潛在性的優勢,缺少任何的表面張力效應意味著無毛細力,但已呈現以下在極小CD目標及持續縮減下變得有疑慮之可能的問題:不利地影響或損傷有機底部抗反射塗層(BARC, bottom anti-reflective coating)的潛在性;例如聚合物緻密化的一些次要效應,其在極小尺寸的情況下開始不利地影響結構整體性能;圖案密度效應,亦即,低密度-高密度偏差;腔室蝕刻均勻性疑慮(中心至邊緣);製程穩定性/持續性(起因於腔室壁上的再沉積);及/或潛在的額外高前段資金成本。
最近提出的第二CD改變方法(其為濕式製程)雖然避免了蝕刻特有的問題,但具有以下問題:對CD改變的大小及控制與空間圖像對數斜率(ILS, image log-slope)高度相關、及在正型熱顯影製程流程的情形中產生去保護基底/梯度。
類似地,包含酸沖洗及烘烤步驟之第二CD改變方法的其他製程流程(例如,酸沖洗/酸沖洗烘烤、或組合製程流程)具有一些新的疑慮。其終究係基於擴散的製程,意味著局部的CD改變量係與局部的濃度位準、及反應動力學、以及時間和溫度相關。通過模擬,吾人已觀察到:由於去保護基底中的局部變化,故這可能透過散焦而導致潛在的下切;以及由於光阻成分之非均質性在線中導致隨機的弱點,故可能導致圖案損壞的失敗機制。
類似地,亦為濕式製程的第三CD改變方法(其中,製程流程包含全面的泛光曝光及泛光烘烤)具有一些新的疑慮。由於為均質性益處起見而嘗試使膜層成為完全去保護的狀態,故需要經調整的顯影溶液條件來確保藉由顯影時間的製程控制。
基於濕式CD改變的概念歷來圍繞著其中之濕式化學成分顯影的時間及/或濃度係與CD改變的量及控制相關聯的方法。再者,在該等額外顯影處理步驟(CD改變量之前係受限於經由圖案化曝光後光阻基底內所保留的去保護層級)的情況下,為維持輪廓控制、同時使可達成的CD改變量最大化,若不使光阻基底完全去保護,則藉由引入提升去保護層級的方法來嘗試使光阻基底成為較均質的狀態,例如藉由全面泛光、熱酸產生劑(TAG, thermal acid generator)、及酸沖洗。
在縮窄/縮減顯影步驟(亦即,第二顯影)之前,完全去保護光阻基底的情形通常意味著頂部損失將等於側邊損失。再者,這意味著不得不改變顯影化學,例如,利用負型顯影(NTD, negative tone develop)製程及最小顯影速率(Rmin
)下的顯影、在正型顯影(PTD, positive tone develop)製程中利用稀釋水性鹼顯影劑及經調整之最大顯影速率(Rmax
) 下的顯影、在PTD製程中利用抑制水性鹼顯影劑及抑制Rmax
下的顯影、及/或在PTD製程中利用冷水性鹼顯影劑及經調整Rmax
下的顯影,以使得CD改變速率合理(例如,每秒0.1至數nm),而不在第二顯影的前數毫秒時間內將一特徵部完全地洗掉。類似地,經由酸沖洗擴散及烘烤後,縮窄顯影(第二顯影)前之去保護基底的情形通常意味著頂部損失將等於側邊損失。
因此,需要一種方法來使可達成之CD改變量最大化,同時容許較多的標準顯影條件。
本揭露內容提供使CD改變量最大化的替代方法、及用於控制/校正的替代流程。在一實施例中,方法包含接收具有下方層及形成於該下方層上之圖案化層的基板,該圖案化層包含輻射敏感材料,且更包含具有不同高度且具有第一臨界尺寸的圖案。方法更包含在圖案化層上施加覆蓋層,該覆蓋層包含選自以下者的光劑:光敏劑產生劑化合物、光敏劑化合物、光酸產生劑化合物、光活化劑、含酸化合物、或以上二或更多者的組合。然後將覆蓋層曝露至電磁輻射,其中施加至基板之不同區域之電磁輻射的劑量係不同的,以及然後加熱覆蓋層及圖案化層。方法更包含使覆蓋層及圖案化層顯影,以使圖案化層的第一臨界尺寸轉變成第二臨界尺寸。
在另一實施例中,方法包含接收具有下方層及沉積於該下方層上之輻射敏感材料層的基板。該方法更包含:使第一波長的光透過圖案化遮罩曝光至輻射敏感材料層上、以及執行曝光後烘烤;使經圖案曝光的輻射敏感材料層進行第一顯影,以形成具有不同高度、且具有第一臨界尺寸的圖案化層;在第一顯影後,檢查圖案化層;以及在圖案化層上施加覆蓋層,該覆蓋層包含選自以下者的光劑:光敏劑產生劑化合物、光敏劑化合物、光酸產生劑化合物、光活化劑、含酸化合物、或以上二或更多者的組合。然後將覆蓋層曝露至175nm至450nm之波長的電磁輻射,其中施加至基板之不同區域之電磁輻射的劑量係不同的,且係基於從(第一顯影後之)檢查圖案化層步驟所獲得的計量資料。方法更包含:執行覆蓋層及圖案化層的曝光後烘烤;以及使覆蓋層及圖案化層顯影,以使圖案化層的第一臨界尺寸轉變成第二臨界尺寸。
當然,如本文中所描述之不同步驟的討論順序已為明確起見而呈現。通常,該等步驟可以任何適當的順序執行。此外,儘管本文中不同特徵、技術、配置等的每一者可能在本揭露內容的不同位置處討論,但其意圖在於概念的每一者可彼此獨立或彼此相結合而實施。據此,本發明可以許多不同的方式加以實施及審視。
應注意,本發明內容章節並不具體說明本揭露內容或所請發明的每一實施例及/或漸增新穎實施態樣。反而,本發明內容章節僅提供不同實施例的初步討論、以及相對於習知技術之新穎性的對應點。就本發明及實施例的額外細節及/或可能觀點而言,讀者被導引至如以下進一步討論之本揭露內容的實施方式章節及對應圖式。
本揭露內容提供替代的方法,以使可達成的CD改變量最大化、脫離由主要曝光條件所指定的去保護基底、以及容許更多的標準顯影條件。再者,本揭露內容的方法將CD改變量控制轉變成大部分地由泛光劑量所控制而非由顯影劑濃度及/或顯影時間所控制,這簡化了顯影製程。此外,其引入新的光活化化學成分,以用作產生酸的主要機制,這將最終導致特徵部之較好的去保護控制。最後,其利用特定位置的臨界尺寸(CD)改變/校正流程及製程(在一些實施例中,藉助泛光製程步驟的局域化劑量控制,在前饋(FF, feed forward)製程控制方案中使用顯影後檢查(ADI, after develop inspection)資訊),以改善CD均勻性、以及在一些實施例中轉變CD目標。
在CD改變之此經調整方法的情形中,亦討論晶圓範圍內(WIW, within-wafer)控制方案。
本發明的第一實施例提出使用覆蓋材料,該覆蓋材料覆蓋於由習知光微影流程所界定之臨界尺寸特徵部層的範圍內。具體參照圖1A~1C的示意性橫剖面圖,基板10包含下方層12及形成於下方層12上的圖案化層14。圖案化層14包含例如光阻材料的輻射敏感材料、以及具有不同高度及第一臨界尺寸CD1的圖案。如圖1A中所示,覆蓋層16被施加於圖案化層14的範圍內。覆蓋材料含有至少一光劑,例如光敏劑產生劑、光敏劑、光酸產生劑、光活化劑、及/或酸性化合物、或以上二或更多者的組合。
光敏劑分子可吸收光能,並將該光能轉移至例如光酸產生劑(PAG, photoacid generator)的另一分子。如此之能量轉移因此可使所接收之分子活化。在PAG接收能量轉移的情形中,PAG然後可產生酸。一些光敏劑化合物可在基態轉移能量,而其他者可在激發態進行轉移。例示性光敏劑化合物包含但不限於苯乙酮、聯伸三苯、二苯酮、茀酮、蒽醌、菲類、或其衍生物。
光酸產生劑(PAG)可為陽離子光引發劑,其將吸收的光能轉變成化學能(例如,酸性反應)。光酸產生劑化合物可包含但不限於三氟甲磺酸三苯基鋶鹽(triphenylsulfonium triflate)、九氟丁基磺酸三苯基鋶鹽(triphenylsulfonium nonaflate)、全氟辛基磺酸三苯基鋶鹽(triphenylsulfonium perfluorooctyl sulfonate)、三氟甲磺酸三芳基鋶鹽(triarylsulfonium triflate)、九氟丁基磺酸三芳基鋶鹽(triarylsulfonium nonaflate)、全氟辛基磺酸三芳基鋶鹽(triarylsulfonium perfluorooctylsulfonate)、三苯基鋶鹽(triphenylsulfonium salt)、三芳基鋶鹽(triarylsulfonium salt)、六氟銻酸三芳基鋶鹽(triarylsulfonium hexafluoroantimonate salt)、三氟甲磺酸-N-羥基萘二甲醯亞胺鹽(N-hydroxynaphthalimide triflate)、1,1-雙[p-氯苯基]-2,2,2-三氯乙烷(DDT)(1,1-bis[p-chlorophenyl]-2,2,2-trichloroethane(DDT))、1,1-雙[p-甲氧苯基]-2,2,2-三氯乙烷(1,1-bis[p-methoxyphenyl]-2,2,2-trichloroethane)、1,2,5,6,9,10-六溴環十二烷(1,2,5,6,9,10-hexabromocyclododecane)、1,10-二溴癸烷(1,10-dibromodecane)、1,1-雙[p-氯苯基]2,2-二氯乙烷(1,1-bis[p-chlorophenyl]2,2-dichloroethane)、4,4-二氯-2- (三氯甲基) 二苯甲醇(4,4-dichloro-2- (trichloromethyl)benzhydrol)、1,1-雙(氯苯基) 2-2,2-三氯乙醇(1,1-bis(chlorophenyl) 2-2,2-trichloroethanol)、六氯二甲基碸(hexachlorodimethylsulfone)、2-氯-6-(三氯甲基) 吡啶(2-chloro-6-(trichloromethyl)pyridine) 、或其衍生物及/或組合物。
光活化劑可包含第二PAG、熱酸產生劑(TAG)、或光破壞鹼(亦稱為光分解鹼)。光破壞鹼可包含在曝光區域中分解的一或更多鹼化合物,這容許能夠中和未曝光區域中之光活化酸的更高的總鹼裝載量。光破壞鹼因此包含能提供此總鹼裝載效果的化合物。未分解的鹼將使一或更多光酸變性成使得該等光酸不再具有光敏感性、或對輻射不再敏感。如本文中所揭露,藉由添加更多的鹼至給定的光阻膜,可降低給定的酸濃度。類似地,給定的酸濃度可藉由選擇性地添加酸化合物而增加。
參考圖1B,覆蓋層16係曝露至電磁輻射20。當(直接地或間接地)曝露於光時,覆蓋材料在該覆蓋材料內產生酸、或改變酸濃度。如此之曝光製程可藉由如燈、雷射、燈泡等的任何一般的EM源。用以在覆蓋材料內產生酸之EM源的曝光波長可以是但不限於任何波長,或介於170~450nm之間之範圍的波長,其係在光微影中產業所使用之通常被光劑材料吸收的波長,且例示性波長為/約為266nm、及/或為/約為365nm。取決於材料特性,可選擇用於覆蓋材料中之光劑的波長及材料選項,以避開具有臨界特徵部之光阻基底內其他成分的吸收(例如,就此情形而言,例示性選擇365nm之波長作為材料吸收及曝光的目標)。在其他實施例中,覆蓋材料中之光劑相較於光阻基底內之其他成分的吸收比率係顯著地大於1,以容許選擇較短的波長(例如,就此情形而言,例示性選擇266nm之波長作為材料吸收及曝光的目標)。
根據一實施例,施加至晶圓之不同區域之電磁輻射的劑量係不同的。例如,傳送至晶圓的劑量可針對特定位置,以在覆蓋材料中產生局域化的酸分子濃度。針對特定位置的劑量可藉由若干實施例方法而控制。在一方法實施例中,提出使用基於像素的數位投影系統。該系統(獨立可定址投影點之陣列)可投影晶圓層級圖案,該等晶圓層級圖案在空間上以結構的臨界尺寸值為特徵。基於像素的數位投影系統可實施為數位光處理(DLP, digital light processing)晶片、光柵閥(GLV, grating light valve)、或其他微投影技術(「其他者」) ,其具有可將圖像或圖案(可選地利用透鏡)聚焦於晶圓上、且可校正或調整臨界尺寸手段及非均勻性的光源。此系統中特定於位置的劑量控制可藉由光源功率及光源形狀、投影鏡振盪率、及/或鏡「開啟」狀態而達成,以校正或調整臨界尺寸手段及非均勻性。
在另一特定於位置的劑量傳送方法中,光源(例示性範例係266nm雷射束)可定向至振鏡控制鏡系統(galvo-controlled mirror system)。該振鏡控制鏡系統(「振鏡」)可將雷射重新定向至容許晶圓層級圖案之晶圓表面上的任何位置,該晶圓層級圖案在空間上以結構的臨界尺寸值為特徵。此系統中特定於位置的劑量控制可藉由雷射脈衝頻率、雷射脈衝功率、振鏡控制(二維掃描速率)、及一些實施例中的晶圓控制(基板移動)達成,以校正或調整臨界尺寸手段及非均勻性。
在另一特定於位置的劑量傳送方法中,劑量係經由在固定光源下方旋轉及移動晶圓而傳送。例如,光源可為單一的可控制源(如300+mm尺寸的燈泡)、或一序列可控制的、獨立的區域(如,沿著光源的長軸)。類似地,晶圓可為固定的,且光可在晶圓範圍內旋轉及移動。如此之硬體概念容許許多途徑來改變被傳送於晶圓範圍內(WIW)的劑量特性,以改變最終的WIW CD改變特性。就此實施例而言,如圖2中所示,徑向劑量改變可包含針對例如以下者的可改變設定:旋轉、掃描速率、功率設定、光源工作距離、孔的使用、焦點位置、光源分區控制、以及以上者的任何置換。因此,將覆蓋層曝露至電磁輻射的實施動作可包含掃描晶圓、掃描輻射源(如雷射束)、旋轉晶圓、或以上二或更多者的結合。
在另一實施例中,藉由上述該等特定於位置之劑量傳送方法之任何者的曝光可進行組合,以針對臨界尺寸手段及非均勻性進行校正或調整。特定於位置之劑量傳送方法的子製程流程的具體範例包含但不限於: 子流程A:XXXnm DLP或GLV或其他者→泛光烘烤 子流程B:XXXnm振鏡→泛光烘烤 子流程C:XXXnm旋轉/移動泛光曝光→泛光烘烤 子流程D:XXXnm振鏡→XXXnm旋轉/移動泛光曝光→泛光烘烤 其中,XXX = 175~450nm,例如266nm。可使用子流程A~D的任何其他組合。
再次參考圖1A~1C,在覆蓋層16曝露至電磁輻射20之後,加熱或烘烤覆蓋層16及圖案化層14,以驅使酸從覆蓋材料擴散至輻射敏感材料基底中(局部擴散製程將取決於鄰近區域中的局部酸濃度),且藉由結合至輻射敏感材料基底中的新酸而最終驅使受保護聚合物的去保護化。然後,如圖1C中所示,覆蓋層16及圖案化層14係例如利用正型顯影劑而顯影,以移除覆蓋層、並且使圖案化層14的臨界尺寸從CD1減小至第二臨界尺寸CD2。藉由範例且非限制的方式,顯影劑就正型顯影而言可為習知的產業0.26N TMAH,或者,就負型顯影而言可為n-丁基醋酸鹽、或環己烷、或類似的負型溶劑。
進一步參照利用覆蓋材料來促進CD改變的處理流程,根據一實施例、且如圖3之流程圖300中所示,製程在步驟310處開始於晶圓(如圖1A之基板10)的初始處理。在步驟320,添加薄膜,其可稱為下方層(如圖1A之下方層12),圖案係待轉移至該下方層中。在步驟330,在薄膜範圍內施加例如光阻的輻射敏感材料塗層。在步驟340,在輻射敏感材料塗層上執行微影製程。更具體而言,輻射敏感材料塗層係透過遮罩而被曝露至(通常在UV光譜中之)具有波長(λ)的光,以產生圖案化曝光。在步驟350,執行第一曝光後烘烤(PEB #1)。在步驟360,經圖案曝光的輻射敏感材料塗層受第一顯影製程(1ST
DEV)處理,以形成圖案化層,例如圖1A的圖案化層14。
在步驟380,含有一光劑材料(或複數光劑材料)的覆蓋層(如圖1A之覆蓋層16)係被施加於圖案化輻射敏感材料塗層的範圍內。在步驟390,執行特定於位置的劑量曝光製程(或複數製程),以將覆蓋層、及經第一顯影處理的輻射敏感材料塗層曝露至具有第二波長(λ)的光,以在覆蓋層中產生酸分子的局域化濃度。在步驟400,執行第二曝光後烘烤(PEB #2),以驅使酸從覆蓋層擴散進入輻射敏感材料塗層中,且藉由結合至輻射敏感材料塗層中的新酸而最終驅使受保護聚合物的去保護化。特定於位置的劑量曝光製程及PEB#2可包含例如上述子流程A~D的任何者,其包含曝光後泛光烘烤。在特定於位置的劑量曝光製程(步驟390)之前、且在1ST
DEV(步驟360)之後,在步驟370可選地可執行顯影後檢查(ADI),以作為前饋(FF)控制策略的一部分。具體而言,步驟390中特定於位置之劑量曝光的製程參數(例如,施加至基板之不同區域之電磁輻射的劑量)可基於從檢查經第一顯影處理之輻射敏感材料塗層所獲取的計量資料而改變,如箭頭FF所指示。
在特定於位置的劑量曝光及PEB#2製程之後,在步驟410執行第二顯影製程(2ND
DEV),以移除覆蓋層、並且使圖案化輻射敏感材料塗層的臨界尺寸從第一臨界尺寸(CD1)減小至第二臨界尺寸(CD2)。在一實施例中,步驟380至410之覆蓋性、於特定位置的劑量曝光、及PEB#2係在同一光微影軌道工具內執行,圖案化層係於該光微影軌道工具中形成(步驟330至360)。在另一實施例中,步驟380至410之覆蓋、特定於位置的劑量曝光、及PEB#2係在區別於光微影軌道工具的工具中執行,圖案化層係於該光微影軌道工具中形成(步驟330至360)。在步驟430,下方薄層係利用具有CD2之圖案化輻射敏感材料塗層作為遮罩而受到蝕刻。在步驟450,晶圓的處理繼續進行下一製程。然後,新的晶圓可根據流程圖300而受處理,該新的晶圓可稱為後續處理基板。
可選地,流程圖300可包含作為回饋(FB, feed-back)控制策略之一部分之步驟420的ADI及/或步驟440的蝕刻後檢查(AEI),其中晶圓係分別地於步驟410的2ND
DEV之後受到檢查、及/或於步驟430的蝕刻之後受到檢查。具體而言,在步驟390中,如FB箭頭所指示,特定於位置之劑量曝光的製程參數(例如,施加至基板之不同區域之電磁輻射的劑量)可基於以下者而針對後續處理基板進行改變:自檢查經第二顯影之輻射敏感材料塗層及/或經蝕刻之下方薄膜層所獲取的計量資料。此外,來自步驟370或420之ADI的計量資料可被前饋至步驟430的蝕刻製程。
CD均勻性可在基板(亦即,晶圓)的表面範圍內改變。例如,給定晶圓在晶圓的中心部位可具有一CD值,而在較接近晶圓邊緣處具有另一CD值。例如當使用步進器曝光系統時,晶圓亦可具有基於曝光進展之順序而改變的CD。取決於給定晶圓的特定區域,CD可能過大或過小,且CD改變可能在晶圓範圍內隨機擴展、可能依徑向位置、及/或可能與特定的特徵(如切割線通道的位置)相關。利用先前技術之濕式處理方法(熱顯影、及/或酸沖洗),這使得WIW CD改變量考量光微影單元系統性(錯誤、問題) 及/或外部處理系統性(例如,蝕刻)有難度,因為這將需要某一類型的WIW濃度、或恢復速率化學控制方案、及/或高空間解析度(區域)的烘烤,並且沒有晶粒內校正的可能。於特定位置的劑量曝光硬體及控制由於能夠在晶圓上施加具有局域化差異的曝光劑量(這在覆蓋材料中導致酸生成(裝載)的局域化差異,這最終促進DEV#2之後CD改變的局域化差異),故可較容易地操控WIW CD改變量製程控制。取決於在特定位置之劑量曝光硬體(或其硬體組合),曝光點/晶粒內(WIS)的CD特性/系統性、以及WIW系統性(例如,徑向系統性,其係與徑向位置高度相關聯的WIW CD系統性;或傾斜系統性,當已知傾斜方向,其係與單一軸高度相關聯的WIW CD系統性)可加以校正。有許多途徑來前饋WIS控制。兩如此之校正方案包含(但不限於):應用晶圓(或序列晶圓、或序列晶圓之任何子集)上之所有晶粒的平均場特性、或藉由使用針對逐個晶粒的校正策略。類似地,有許多途徑來前饋WIW徑向或傾斜控制。用於WIW徑向控制的兩如此之方法係:1)以多度數(徑向/方位角向)任尼克多項式擬合(Zernike polynomial fit)內的徑向形式代表CD晶圓圖,或2)將高階多項式透過半徑擬合至平均CD回應。用於WIW傾斜控制的兩如此之方法係:1)以任尼克多項式擬合中的第一度數徑向形式代表CD晶圓圖;或2)藉由尋找最佳角度,在該最佳角度時,沿著與所關注之軸垂直的軸的平均最佳地代表實驗資料集。
關於製程控制方案,有若干的FF或FB控制方案,如以上相關於流程圖300所討論,該等控制方案可獨立地、或互相結合地使用。可回饋平均的蝕刻後檢查結果,以促進批量的平均功率設定及/或WIW功率特性調整,從而校正利用AEI所觀察的波動。波動的來源可為蝕刻製程、微影製程、及其他處理步驟所固有的。類似地,可回饋平均的顯影後檢查(ADI)結果,以促進批量的平均功率設定及/或WIW功率特性調整,從而校正利用ADI所觀察的波動。在CD改變製程具有至少兩顯影步驟(例如,步驟360及410)的情形中,可使用來自任一ADI步驟(例如,步驟370或420)的ADI結果,且可回饋該ADI結果,以控制後續處理晶圓。針對第一DEV(如步驟360)之後、但在CD改變製程(例如,步驟390之特定於位置的劑量曝光、步驟400之烘烤、步驟410之第二DEV)之前進行ADI步驟而言,可進行晶圓層級的前饋控制策略。已知的ADI CD圖可被前饋至泛光曝光控制器中,以增強特定於該晶圓的泛光製程(劑量係局部地被傳送於晶圓範圍內),以獲得較緊密的最終改變CD分佈。單獨地、或結合地使用以上控制方案的任何者導致較緊密的改變CD或圖案化CD控制。
總而言之,前述內容提供使可達成之CD改變量最大化(脫離由主要曝光條件所指定的去保護基底)、以及容許更多標準顯影條件的替代方式。其將CD改變量控制轉變成相較於顯影濃度及/或顯影時間大部分地由泛光劑量所控制,這簡化了CD改變顯影製程。其引入新的光活化化學成分,以用作產生酸的主要機制,從而用於CD改變,這將最終導致特徵部之較好的去保護控制。最後,其利用特定於位置的臨界尺寸(CD)改變/校正流程及製程(在一些實施例中,藉助泛光製程步驟的局域化劑量控制,在前饋(FF) 製程控制方案中利用顯影後檢查(ADI)資訊) ,以改善CD均勻性,以及在一些實施例中亦用以轉變CD目標。
利用CD改變之如此調整的方法,WIW控制方案亦可為更可實現的,從而容許較緊密的CD改變或圖案化CD控制。
根據一實施例,亦提供一系統,其用以減小形成於基板上之圖案的臨界尺寸。如以上詳細討論,該系統包含用以在基板上的圖案化層的頂部上沉積覆蓋層的覆蓋物旋杯、及用以將覆蓋層曝露至電磁輻射的曝光系統。該系統更包含用以加熱(烘烤)基板的加熱模組、及用以施加顯影劑至覆蓋層及圖案化層以減小圖案化層之臨界尺寸的顯影劑旋杯。此外,系統包含用以控制覆蓋物旋杯、曝光系統、加熱模組、及顯影劑旋杯的控制器。如上所討論,該控制器係配置成基於以下者而改變施加至基板上之覆蓋層之不同區域之電磁輻射的劑量:第一計量資料,其係接收自在藉由系統接收基板之前所執行的第一ADI;或第二計量資料,其係接收自在系統內之臨界尺寸的改變之後所執行的第二ADI;或第三計量資料,其係接收自在利用圖案化層作為圖案的蝕刻製程之後所執行的AEI;或以上二或更多者的組合。
諸多技術已描述為複數的分離操作,以輔助理解諸多實施例。描述內容的順序不應被解讀為暗示該等操作必定順序相依。實際上,該等操作不必按照所呈現的順序執行。所述的操作可按照與所述實施例不同的順序加以執行。在額外的實施例中,可執行諸多額外的操作,且/或可省略所描述的操作。
依據本發明,本文中所使用之「基板」一般是指受處理的物體。基板可包含元件(尤其半導體或其他電子元件)的任何材料部位或結構,且舉例而言,可為基礎基板結構,如半導體晶圓、或基礎基板結構上或覆蓋基礎基板結構的覆層(如薄膜)。因此,基板不受限於任何特定的基礎結構、下方層或上方層、圖案化或非圖案化,反而基板係被認為包含任何如此之覆層或基礎結構、以及覆層及/或基礎結構的任何組合。描述內容可參照特定類型的基板,但其僅為說明性目的。
儘管本發明已藉由本發明之一或更多實施例的描述而加以說明,且儘管實施例已相當詳細地加以描述,但其並非意圖將隨附之申請專利範圍限定或以任何方式限制於如此之細節。額外的優點與修改對熟悉本領域者將顯而易見。因此,本發明在其較廣泛實施態樣方面不受限於特定細節、代表性設備及方法、以及所顯示及描述的說明性範例。據此,在不背離概括性發明概念之範疇的情況下,可脫離此類細節。
10‧‧‧基板
12‧‧‧下方層
14‧‧‧圖案化層
16‧‧‧覆蓋層
20‧‧‧電磁輻射
300‧‧‧流程圖
310、320、330、340、350、360、370、380、390‧‧‧步驟
400、410、420、430、440、450‧‧‧步驟
CD1‧‧‧第一臨界尺寸
CD2‧‧‧第二臨界尺寸
參照以下詳細說明,尤其當結合隨附圖式考量時,本發明的更完整的理解及其許多伴隨的優勢將變得顯而易見,其中:
圖1A~1C係根據本發明之一實施例的縮窄方法的示意性橫剖面圖;
圖2係說明用以改變徑向劑量特性之諸多途徑的圖表,該徑向劑量特性係傳送於晶圓範圍內,以改變最終的臨界尺寸;以及
圖3係描繪根據本發明之一實施例之用於臨界尺寸縮窄的製程流程的流程圖。
Claims (22)
- 一種用於圖案化基板的方法,包含:接收一基板,該基板包含:一下方層,及一圖案化層,其係形成於該下方層上,該圖案化層包含輻射敏感材料、且更包含具有不同高度且具有第一臨界尺寸的圖案;在該圖案化層上施加一覆蓋層,該覆蓋層包含選自以下者的一光劑:光敏劑產生劑化合物、光敏劑化合物、光酸產生劑化合物、光活化劑、含酸化合物、或以上二或更多者的組合;將該覆蓋層曝露至電磁輻射,其中施加至該基板之不同區域之電磁輻射的劑量係不同的;加熱該覆蓋層及圖案化層;以及使該覆蓋層及該圖案化層顯影,以將該圖案化層的該第一臨界尺寸轉變成第二臨界尺寸,其中曝露該覆蓋層的步驟包含掃描該基板、掃描一輻射源、旋轉該基板、將該覆蓋層曝露至一掃描雷射束、將該覆蓋層曝露至來自一數位光投影(DLP,digital light projection)系統的電磁輻射、或以上二或更多者的結合。
- 如申請專利範圍第1項之用於圖案化基板的方法,其中曝露該覆蓋層的步驟包含將該覆蓋層曝露至紫外(UV)輻射。
- 如申請專利範圍第2項之用於圖案化基板的方法,其中紫外(UV)輻射的波長係從175nm至450nm。
- 如申請專利範圍第1項之用於圖案化基板的方法,更包含:接收來自一第一顯影後檢查(ADI,after-develop inspection)的第一計量資料,該第一顯影後檢查係在接收該基板的步驟之前執行。
- 如申請專利範圍第4項之用於圖案化基板的方法,更包含:基於所接收的該第一計量資料,改變於曝露該覆蓋層的步驟期間施加至該基板之不同區域之電磁輻射的劑量。
- 如申請專利範圍第1項之用於圖案化基板的方法,更包含:在一第二顯影後檢查(ADI)中量測第二計量資料,該第二顯影後檢查(ADI)係在使該覆蓋層及該圖案化層顯影的步驟之後執行。
- 如申請專利範圍第6項之用於圖案化基板的方法,更包含:基於該第二計量資料,改變於曝露該覆蓋層的步驟期間施加至一後續處理基板之不同區域之電磁輻射的劑量。
- 如申請專利範圍第1項之用於圖案化基板的方法,更包含:利用具有該第二臨界尺寸的該圖案化層作為一圖案來蝕刻該下方層;以及在一蝕刻後檢查(AEI,after-etch inspection)中量測第三計量資料,該蝕刻後檢查(AEI)係在蝕刻該下方層的步驟之後執行。
- 如申請專利範圍第8項之用於圖案化基板的方法,更包含:基於該第三計量資料,改變於曝露該覆蓋層的步驟期間施加至一後續處理基板之不同區域之電磁輻射的劑量。
- 如申請專利範圍第1項之用於圖案化基板的方法,其中施加及曝露該覆蓋層的步驟、以及加熱及顯影該覆蓋層及該圖案化層的步驟係在同一光微影軌道工具內執行,該圖案化層係於該光微影軌道工具中形成。
- 如申請專利範圍第1項之用於圖案化基板的方法,其中施加及曝露該覆蓋層的步驟、以及加熱及顯影該覆蓋層及該圖案化層的步驟係在區別於光微影軌道工具的一工具中執行,該圖案化層係於該光微影軌道工具中形成。
- 一種用於圖案化基板的方法,包含,接收一基板,該基板包含:一下方層,及一輻射敏感材料層,其係沉積於該下方層上;使第一波長的光透過一圖案化遮罩曝光至該輻射敏感材料層上,以及執行一曝光後烘烤;使經圖案曝光的該輻射敏感材料層進行第一顯影,以形成具有不同高度且具有第一臨界尺寸的一圖案化層;在該第一顯影後,檢查該圖案化層;在該圖案化層上施加一覆蓋層,該覆蓋層含有選自以下者的一光劑:光敏劑產生劑化合物、光敏劑化合物、光酸產生劑化合物、光活化劑、含酸化合物、或以上二或更多者的組合;將該覆蓋層曝露至175nm至450nm之波長的電磁輻射,其中施加至該基板之不同區域之電磁輻射的劑量係不同的,且係基於由該第一顯影後之檢查該圖案化層的步驟所獲得的計量資料;執行該覆蓋層及該圖案化層的一曝光後烘烤;以及使該覆蓋層及該圖案化層顯影,以使該圖案化層的該第一臨界尺寸轉變成第二臨界尺寸,其中曝露該覆蓋層的步驟包含掃描該基板、掃描一輻射源、旋轉該基板、將該覆蓋層曝露至一掃描雷射束、將該覆蓋層曝露至來自一數位光投影(DLP)系統的電磁輻射、或以上二或更多者的結合。
- 如申請專利範圍第12項之用於圖案化基板的方法,更包含:利用具有該第二臨界尺寸的該圖案化層作為一圖案來蝕刻該下方層。
- 一種用於圖案化基板的方法,包含:接收一基板,該基板包含:一下方層,及一圖案化層,其係形成於該下方層上,該圖案化層包含輻射敏感材料、且更包含具有不同高度且具有第一臨界尺寸的圖案;在該圖案化層上施加一覆蓋層,該覆蓋層包含選自以下者的一光劑:光敏劑產生劑化合物、光敏劑化合物、光酸產生劑化合物、光活化劑、含酸化合物、或以上二或更多者的組合;將該覆蓋層曝露至電磁輻射,其中入射至該基板之不同曝露區域上之電磁輻射的劑量係不同的;加熱該覆蓋層及圖案化層;以及使該覆蓋層及該圖案化層顯影,以將該圖案化層的該第一臨界尺寸轉變成第二臨界尺寸。
- 如申請專利範圍第14項之用於圖案化基板的方法,其中曝露該覆蓋層的步驟包含將該覆蓋層曝露至紫外(UV)輻射。
- 如申請專利範圍第15項之用於圖案化基板的方法,其中紫外(UV)輻射的波長係從175nm至450nm。
- 如申請專利範圍第14項之用於圖案化基板的方法,更包含:接收來自一第一顯影後檢查(ADI,after-develop inspection)的第一計量資料,該第一顯影後檢查係在接收該基板的步驟之前執行。
- 如申請專利範圍第17項之用於圖案化基板的方法,更包含:基於接收的該第一計量資料,改變於曝露該覆蓋層的步驟期間入射至該基板上之不同曝露區域之電磁輻射的劑量。
- 如申請專利範圍第14項之用於圖案化基板的方法,更包含:在一第二顯影後檢查(ADI)中量測第二計量資料,該第二顯影後檢查(ADI)係在使該覆蓋層及該圖案化層顯影的步驟之後執行。
- 如申請專利範圍第19項之用於圖案化基板的方法,更包含:基於該第二計量資料,改變於曝露該覆蓋層的步驟期間入射至一後續處理基板之不同曝露區域上之電磁輻射的劑量。
- 如申請專利範圍第14項之用於圖案化基板的方法,更包含:利用具有該第二臨界尺寸的該圖案化層作為一圖案來蝕刻該下方層;以及在一蝕刻後檢查(AEI,after-etch inspection)中量測第三計量資料,該蝕刻後檢查(AEI)係在蝕刻該下方層的步驟之後執行。
- 如申請專利範圍第21項之用於圖案化基板的方法,更包含:基於該第三計量資料,改變於曝露該覆蓋層的步驟期間入射至一後續處理基板之不同區域上之電磁輻射的劑量。
Applications Claiming Priority (2)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US201662335991P | 2016-05-13 | 2016-05-13 | |
| US62/335,991 | 2016-05-13 |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| TW201809862A TW201809862A (zh) | 2018-03-16 |
| TWI662360B true TWI662360B (zh) | 2019-06-11 |
Family
ID=58745491
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| TW106115728A TWI662360B (zh) | 2016-05-13 | 2017-05-12 | 藉由使用光劑之臨界尺寸控制 |
Country Status (6)
| Country | Link |
|---|---|
| US (2) | US10096528B2 (zh) |
| JP (1) | JP6750155B2 (zh) |
| KR (1) | KR102177192B1 (zh) |
| CN (1) | CN109313395B (zh) |
| TW (1) | TWI662360B (zh) |
| WO (1) | WO2017197288A1 (zh) |
Families Citing this family (14)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| TWI575566B (zh) * | 2014-02-24 | 2017-03-21 | 東京威力科創股份有限公司 | 與光敏化化學放大光阻化學品及程序一起使用的方法及技術 |
| JP6895600B2 (ja) | 2014-02-25 | 2021-06-30 | 東京エレクトロン株式会社 | 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術 |
| US10429745B2 (en) | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
| WO2017197288A1 (en) | 2016-05-13 | 2017-11-16 | Tokyo Electron Limited | Critical dimension control by use of a photo agent |
| TWI657314B (zh) | 2016-05-13 | 2019-04-21 | 東京威力科創股份有限公司 | 藉由使用光敏化學品或光敏化學增幅型光阻劑之臨界尺寸控制 |
| DE102016221261A1 (de) * | 2016-10-28 | 2018-05-03 | Carl Zeiss Smt Gmbh | Verfahren zur mikrolithographischen Herstellung mikrostrukturierter Bauelemente |
| EP3663856A1 (en) | 2018-12-07 | 2020-06-10 | ASML Netherlands B.V. | Method for adjusting a target feature in a model of a patterning process based on local electric fields |
| WO2021034567A1 (en) | 2019-08-16 | 2021-02-25 | Tokyo Electron Limited | Method and process for stochastic driven defectivity healing |
| US11764111B2 (en) * | 2019-10-24 | 2023-09-19 | Texas Instruments Incorporated | Reducing cross-wafer variability for minimum width resistors |
| CN113809117B (zh) * | 2020-06-16 | 2023-12-22 | 联华电子股份有限公司 | 半导体元件及其制作方法 |
| CN111856888B (zh) * | 2020-07-03 | 2023-06-23 | 儒芯微电子材料(上海)有限公司 | 一种增强密集图形光刻分辨率的方法 |
| US11656550B2 (en) | 2020-09-01 | 2023-05-23 | Tokyo Electron Limited | Controlling semiconductor film thickness |
| US20220113635A1 (en) * | 2020-10-08 | 2022-04-14 | Tokyo Electron Limited | Non-Destructive Coupon Generation via Direct Write Lithography for Semiconductor Process Development |
| US20230160820A1 (en) * | 2021-11-22 | 2023-05-25 | Meta Platforms Technologies, Llc | Tunable shrinkage and trim process for fabricating gratings |
Citations (2)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| TW449799B (en) * | 1998-03-09 | 2001-08-11 | Mitsubishi Electric Corp | Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby |
| TW201342425A (zh) * | 2012-01-03 | 2013-10-16 | Tokyo Electron Ltd | 用於圖案平滑化之蒸氣處理程序及線內臨界尺寸縮窄 |
Family Cites Families (98)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US4402571A (en) | 1981-02-17 | 1983-09-06 | Polaroid Corporation | Method for producing a surface relief pattern |
| US4609615A (en) | 1983-03-31 | 1986-09-02 | Oki Electric Industry Co., Ltd. | Process for forming pattern with negative resist using quinone diazide compound |
| EP0203215B1 (de) | 1985-05-29 | 1990-02-21 | Ibm Deutschland Gmbh | Verfahren zur Reparatur von Transmissionsmasken |
| US4931380A (en) | 1985-07-18 | 1990-06-05 | Microsi, Inc. | Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist |
| JPH0654390B2 (ja) | 1986-07-18 | 1994-07-20 | 東京応化工業株式会社 | 高耐熱性ポジ型ホトレジスト組成物 |
| JPH0740543B2 (ja) | 1987-02-17 | 1995-05-01 | 松下電子工業株式会社 | 半導体装置の製造方法 |
| JPH04239116A (ja) | 1991-01-14 | 1992-08-27 | Fujitsu Ltd | 半導体装置の製造方法 |
| JP2723405B2 (ja) | 1991-11-12 | 1998-03-09 | 松下電器産業株式会社 | 微細電極の形成方法 |
| US5294680A (en) | 1992-07-24 | 1994-03-15 | International Business Machines Corporation | Polymeric dyes for antireflective coatings |
| JP3158710B2 (ja) | 1992-09-16 | 2001-04-23 | 日本ゼオン株式会社 | 化学増幅レジストパターンの形成方法 |
| US5534970A (en) * | 1993-06-11 | 1996-07-09 | Nikon Corporation | Scanning exposure apparatus |
| JP3654597B2 (ja) * | 1993-07-15 | 2005-06-02 | 株式会社ルネサステクノロジ | 製造システムおよび製造方法 |
| JPH0990621A (ja) | 1995-09-21 | 1997-04-04 | Canon Inc | レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法 |
| JP2910654B2 (ja) | 1996-01-30 | 1999-06-23 | 日本電気株式会社 | レジストパターン形成方法 |
| JP2867964B2 (ja) | 1996-06-27 | 1999-03-10 | 日本電気株式会社 | レジスト膜パターンの形成方法 |
| US5703375A (en) | 1996-08-02 | 1997-12-30 | Eaton Corporation | Method and apparatus for ion beam neutralization |
| US5905019A (en) | 1997-09-26 | 1999-05-18 | International Business Machines Corporation | Thin resist process by sub-threshold exposure |
| JPH11237737A (ja) | 1997-12-19 | 1999-08-31 | Kansai Shingijutsu Kenkyusho:Kk | 感光性樹脂組成物およびその製造方法 |
| JP2000035672A (ja) * | 1998-03-09 | 2000-02-02 | Mitsubishi Electric Corp | 半導体装置の製造方法及び半導体装置 |
| US6180320B1 (en) * | 1998-03-09 | 2001-01-30 | Mitsubishi Denki Kabushiki Kaisha | Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby |
| US6245492B1 (en) | 1998-08-13 | 2001-06-12 | International Business Machines Corporation | Photoresist system and process for aerial image enhancement |
| JP2000208408A (ja) | 1999-01-19 | 2000-07-28 | Nec Corp | 化学増幅系レジストのパタ―ン形成方法 |
| JP4557328B2 (ja) | 1999-02-01 | 2010-10-06 | 富士フイルム株式会社 | ポジ型フォトレジスト組成物 |
| US6824879B2 (en) | 1999-06-10 | 2004-11-30 | Honeywell International Inc. | Spin-on-glass anti-reflective coatings for photolithography |
| US6582891B1 (en) | 1999-12-02 | 2003-06-24 | Axcelis Technologies, Inc. | Process for reducing edge roughness in patterned photoresist |
| JP2002006512A (ja) * | 2000-06-20 | 2002-01-09 | Mitsubishi Electric Corp | 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法 |
| WO2001098838A2 (en) | 2000-06-22 | 2001-12-27 | Koninklijke Philips Electronics N.V. | Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method |
| US6625512B1 (en) * | 2000-07-25 | 2003-09-23 | Advanced Micro Devices, Inc. | Method and apparatus for performing final critical dimension control |
| US6548219B2 (en) | 2001-01-26 | 2003-04-15 | International Business Machines Corporation | Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions |
| US6555479B1 (en) | 2001-06-11 | 2003-04-29 | Advanced Micro Devices, Inc. | Method for forming openings for conductive interconnects |
| WO2003001297A2 (en) | 2001-06-26 | 2003-01-03 | Kla-Tencor Corporation | Method for determining lithographic focus and exposure |
| US7136796B2 (en) | 2002-02-28 | 2006-11-14 | Timbre Technologies, Inc. | Generation and use of integrated circuit profile-based simulation information |
| JP4410977B2 (ja) | 2002-07-09 | 2010-02-10 | 富士通株式会社 | 化学増幅レジスト材料及びそれを用いたパターニング方法 |
| US6916594B2 (en) * | 2002-12-30 | 2005-07-12 | Hynix Semiconductor Inc. | Overcoating composition for photoresist and method for forming photoresist pattern using the same |
| US6900001B2 (en) | 2003-01-31 | 2005-05-31 | Applied Materials, Inc. | Method for modifying resist images by electron beam exposure |
| US6968253B2 (en) | 2003-05-07 | 2005-11-22 | Kla-Tencor Technologies Corp. | Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process |
| SG115693A1 (en) | 2003-05-21 | 2005-10-28 | Asml Netherlands Bv | Method for coating a substrate for euv lithography and substrate with photoresist layer |
| US7186486B2 (en) | 2003-08-04 | 2007-03-06 | Micronic Laser Systems Ab | Method to pattern a substrate |
| JP4974049B2 (ja) | 2004-02-20 | 2012-07-11 | 株式会社ニコン | 露光方法、露光装置、並びにデバイス製造方法 |
| US20050214674A1 (en) | 2004-03-25 | 2005-09-29 | Yu Sui | Positive-working photoimageable bottom antireflective coating |
| JP4481723B2 (ja) | 2004-05-25 | 2010-06-16 | 株式会社東芝 | 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム |
| JP4524154B2 (ja) | 2004-08-18 | 2010-08-11 | 富士フイルム株式会社 | 化学増幅型レジスト組成物及びそれを用いたパターン形成方法 |
| US20060269879A1 (en) | 2005-05-24 | 2006-11-30 | Infineon Technologies Ag | Method and apparatus for a post exposure bake of a resist |
| US7488933B2 (en) | 2005-08-05 | 2009-02-10 | Brion Technologies, Inc. | Method for lithography model calibration |
| KR100703007B1 (ko) | 2005-11-17 | 2007-04-06 | 삼성전자주식회사 | 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법 |
| US20070275330A1 (en) | 2006-05-25 | 2007-11-29 | International Business Machines Corporation | Bottom anti-reflective coating |
| JP2007334036A (ja) | 2006-06-15 | 2007-12-27 | Sekisui Chem Co Ltd | 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ |
| US7687205B2 (en) | 2006-06-15 | 2010-03-30 | The Boeing Company | Photolithographic method and apparatus employing a polychromatic mask |
| DE102006053074B4 (de) | 2006-11-10 | 2012-03-29 | Qimonda Ag | Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung |
| JP4678383B2 (ja) | 2007-03-29 | 2011-04-27 | 信越化学工業株式会社 | 化学増幅ネガ型レジスト組成物及びパターン形成方法 |
| US20090096106A1 (en) | 2007-10-12 | 2009-04-16 | Air Products And Chemicals, Inc. | Antireflective coatings |
| US8088548B2 (en) | 2007-10-23 | 2012-01-03 | Az Electronic Materials Usa Corp. | Bottom antireflective coating compositions |
| JP4961324B2 (ja) | 2007-10-26 | 2012-06-27 | 富士フイルム株式会社 | 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法 |
| KR101585992B1 (ko) | 2007-12-20 | 2016-01-19 | 삼성전자주식회사 | 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법 |
| US20090214985A1 (en) | 2008-02-27 | 2009-08-27 | Tokyo Electron Limited | Method for reducing surface defects on patterned resist features |
| US20090274974A1 (en) | 2008-04-30 | 2009-11-05 | David Abdallah | Spin-on graded k silicon antireflective coating |
| US7966582B2 (en) | 2008-05-23 | 2011-06-21 | Synopsys, Inc. | Method and apparatus for modeling long-range EUVL flare |
| KR20110025211A (ko) | 2008-06-12 | 2011-03-09 | 바스프 에스이 | 술포늄 유도체 및 잠재성 산으로서의 그의 용도 |
| NL2003654A (en) | 2008-11-06 | 2010-05-10 | Brion Tech Inc | Methods and system for lithography calibration. |
| US8455176B2 (en) | 2008-11-12 | 2013-06-04 | Az Electronic Materials Usa Corp. | Coating composition |
| US8383318B2 (en) | 2009-02-19 | 2013-02-26 | Brewer Science Inc. | Acid-sensitive, developer-soluble bottom anti-reflective coatings |
| DE102009015717B4 (de) | 2009-03-31 | 2012-12-13 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage |
| US8568964B2 (en) | 2009-04-27 | 2013-10-29 | Tokyo Electron Limited | Flood exposure process for dual tone development in lithographic applications |
| JP5011345B2 (ja) * | 2009-05-15 | 2012-08-29 | 東京エレクトロン株式会社 | レジストパターンのスリミング処理方法 |
| CN102483582B (zh) | 2009-08-24 | 2016-01-20 | Asml荷兰有限公司 | 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底 |
| US8428762B2 (en) | 2009-08-28 | 2013-04-23 | Kla-Tencor Corporation | Spin coating modeling |
| US8589827B2 (en) | 2009-11-12 | 2013-11-19 | Kla-Tencor Corporation | Photoresist simulation |
| US8623458B2 (en) * | 2009-12-18 | 2014-01-07 | International Business Machines Corporation | Methods of directed self-assembly, and layered structures formed therefrom |
| US8795952B2 (en) | 2010-02-21 | 2014-08-05 | Tokyo Electron Limited | Line pattern collapse mitigation through gap-fill material application |
| US8124319B2 (en) | 2010-04-12 | 2012-02-28 | Nanya Technology Corp. | Semiconductor lithography process |
| US8443308B2 (en) | 2011-05-02 | 2013-05-14 | Synopsys Inc. | EUV lithography flare calculation and compensation |
| KR101909567B1 (ko) | 2011-07-08 | 2018-10-18 | 에이에스엠엘 네델란즈 비.브이. | 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트 |
| CN103034048B (zh) | 2011-09-29 | 2015-04-22 | 中芯国际集成电路制造(北京)有限公司 | 光刻方法 |
| JP5846046B2 (ja) | 2011-12-06 | 2016-01-20 | 信越化学工業株式会社 | レジスト保護膜材料及びパターン形成方法 |
| JP5705103B2 (ja) | 2011-12-26 | 2015-04-22 | 株式会社東芝 | パターン形成方法 |
| JP5789275B2 (ja) | 2012-02-03 | 2015-10-07 | エーエスエムエル ネザーランズ ビー.ブイ. | 3dレジストプロファイルのシミュレーション用のリソグラフィモデル |
| CN103309164A (zh) | 2012-03-09 | 2013-09-18 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
| US9851639B2 (en) | 2012-03-31 | 2017-12-26 | International Business Machines Corporation | Photoacid generating polymers containing a urethane linkage for lithography |
| JP5741518B2 (ja) | 2012-04-24 | 2015-07-01 | 信越化学工業株式会社 | レジスト下層膜材料及びパターン形成方法 |
| JP6004172B2 (ja) | 2012-07-31 | 2016-10-05 | 日産化学工業株式会社 | カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物 |
| JP2014143415A (ja) | 2012-12-31 | 2014-08-07 | Rohm & Haas Electronic Materials Llc | イオン注入法 |
| EP2960926B1 (en) | 2013-02-20 | 2019-05-29 | Osaka University | Method of forming resist pattern |
| KR101860243B1 (ko) * | 2013-11-08 | 2018-05-21 | 도쿄엘렉트론가부시키가이샤 | Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법 |
| KR101988193B1 (ko) * | 2013-11-08 | 2019-06-11 | 도쿄엘렉트론가부시키가이샤 | 화학적 폴리싱 및 평탄화를 위한 방법 |
| TWI600966B (zh) | 2014-02-21 | 2017-10-01 | 東京威力科創股份有限公司 | 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板 |
| TWI575566B (zh) | 2014-02-24 | 2017-03-21 | 東京威力科創股份有限公司 | 與光敏化化學放大光阻化學品及程序一起使用的方法及技術 |
| JP6524388B2 (ja) | 2014-02-24 | 2019-06-05 | 東京エレクトロン株式会社 | 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減 |
| JP6283120B2 (ja) | 2014-02-24 | 2018-02-21 | 東京エレクトロン株式会社 | 光増感化学増幅レジスト内の光増感剤濃度の測定メトロロジー |
| JP6895600B2 (ja) | 2014-02-25 | 2021-06-30 | 東京エレクトロン株式会社 | 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術 |
| KR101989707B1 (ko) * | 2014-07-08 | 2019-06-14 | 도쿄엘렉트론가부시키가이샤 | 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법 |
| WO2016025210A1 (en) | 2014-08-13 | 2016-02-18 | Tokyo Electron Limited | Critical dimension control in photo-sensitized chemically-amplified resist |
| JP6809843B2 (ja) | 2015-08-20 | 2021-01-06 | 国立大学法人大阪大学 | パターン形成方法 |
| JP6512994B2 (ja) | 2015-08-20 | 2019-05-15 | 国立大学法人大阪大学 | 化学増幅型レジスト材料 |
| JP6774814B2 (ja) | 2015-08-20 | 2020-10-28 | 国立大学法人大阪大学 | 化学増幅型レジスト材料及びパターン形成方法 |
| US10429745B2 (en) | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
| US10048594B2 (en) | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
| TWI657314B (zh) | 2016-05-13 | 2019-04-21 | 東京威力科創股份有限公司 | 藉由使用光敏化學品或光敏化學增幅型光阻劑之臨界尺寸控制 |
| WO2017197288A1 (en) | 2016-05-13 | 2017-11-16 | Tokyo Electron Limited | Critical dimension control by use of a photo agent |
-
2017
- 2017-05-12 WO PCT/US2017/032450 patent/WO2017197288A1/en not_active Ceased
- 2017-05-12 JP JP2018559865A patent/JP6750155B2/ja active Active
- 2017-05-12 CN CN201780036940.8A patent/CN109313395B/zh active Active
- 2017-05-12 KR KR1020187036089A patent/KR102177192B1/ko active Active
- 2017-05-12 US US15/594,187 patent/US10096528B2/en active Active
- 2017-05-12 TW TW106115728A patent/TWI662360B/zh active
-
2018
- 2018-10-08 US US16/154,018 patent/US10522428B2/en active Active
Patent Citations (2)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| TW449799B (en) * | 1998-03-09 | 2001-08-11 | Mitsubishi Electric Corp | Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby |
| TW201342425A (zh) * | 2012-01-03 | 2013-10-16 | Tokyo Electron Ltd | 用於圖案平滑化之蒸氣處理程序及線內臨界尺寸縮窄 |
Also Published As
| Publication number | Publication date |
|---|---|
| KR20190007032A (ko) | 2019-01-21 |
| CN109313395B (zh) | 2021-05-14 |
| WO2017197288A1 (en) | 2017-11-16 |
| US10522428B2 (en) | 2019-12-31 |
| US20190043765A1 (en) | 2019-02-07 |
| US10096528B2 (en) | 2018-10-09 |
| TW201809862A (zh) | 2018-03-16 |
| US20170330806A1 (en) | 2017-11-16 |
| CN109313395A (zh) | 2019-02-05 |
| KR102177192B1 (ko) | 2020-11-10 |
| JP6750155B2 (ja) | 2020-09-02 |
| JP2019517137A (ja) | 2019-06-20 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| TWI662360B (zh) | 藉由使用光劑之臨界尺寸控制 | |
| CN109313394B (zh) | 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制 | |
| TWI590304B (zh) | 光敏化化學放大光阻中之臨界尺寸控制 | |
| KR101888287B1 (ko) | 패터닝된 필름의 임계 치수를 시프팅하기 위한 시스템 및 방법 | |
| US10061199B2 (en) | Methods of forming a mask for substrate patterning | |
| US20050053850A1 (en) | Further method to pattern a substrate | |
| US20060194155A1 (en) | Resist pattern forming method and semiconductor device manufacturing method | |
| TW202427065A (zh) | 半導體工件圖案化 | |
| US7662542B2 (en) | Pattern forming method and semiconductor device manufacturing method | |
| KR102767600B1 (ko) | 교정된 조정 선량을 사용하여 임계 치수를 보정하기 위한 방법 | |
| TWI861290B (zh) | 用於圖案化層狀結構之微影設備、圖案化系統與方法 | |
| KR20230125841A (ko) | 자가-정렬 이중 패터닝을 위한 무동결 방법 | |
| JP2012109322A (ja) | パターン形成方法 |