[go: up one dir, main page]

WO2024260825A1 - Puce photonique intégrée pour détection d'alignement basée sur des interférences - Google Patents

Puce photonique intégrée pour détection d'alignement basée sur des interférences Download PDF

Info

Publication number
WO2024260825A1
WO2024260825A1 PCT/EP2024/066292 EP2024066292W WO2024260825A1 WO 2024260825 A1 WO2024260825 A1 WO 2024260825A1 EP 2024066292 W EP2024066292 W EP 2024066292W WO 2024260825 A1 WO2024260825 A1 WO 2024260825A1
Authority
WO
WIPO (PCT)
Prior art keywords
grating
illumination
detectors
apodized grating
apodized
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
PCT/EP2024/066292
Other languages
English (en)
Inventor
Ezgi Sahin
Jorn Paul VAN ENGELEN
Irwan Dani SETIJA
Saman Jahani
Arjan Johannes Anton BEUKMAN
Michael Goldstein
Maaike VAN T WESTEINDE
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of WO2024260825A1 publication Critical patent/WO2024260825A1/fr
Pending legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02049Interferometers characterised by particular mechanical design details
    • G01B9/02051Integrated design, e.g. on-chip or monolithic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7096Arrangement, mounting, housing, environment, cleaning or maintenance of apparatus

Definitions

  • the present disclosure relates generally measurement of parameters of interest in semiconductor manufacturing and more specifically to alignment measurement with an integrated photonic chip.
  • Manufacturing devices such as semiconductor devices, typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices. Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation. Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process.
  • a patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc. Patterning can occur in multiple layers, such that a multi-layer stack or device can be constructed from a set of patterned layers which are aligned with one another during patterning and other steps.
  • Lithography is a central step in the manufacturing of devices such as ICs, where patterns formed on substrates define functional elements of the devices, such as microprocessors, memory chips, etc. Similar lithographic techniques are also used in the formation of flat panel displays, microelectromechanical systems (MEMS) and other devices.
  • MEMS microelectromechanical systems
  • a metrology apparatus can be used to determine properties of devices and how properties of different devices vary or how properties associated with different layers of the same device vary from layer to layer.
  • the metrology apparatus which can be a diffraction-based apparatus, an optical apparatus, an electron microscopy apparatus, etc., may alternatively be constructed to identify defects on the device or to align the device and may, for example, be part of the lithographic apparatus or may be a stand-alone device.
  • the metrology apparatus may measure the properties on a latent image (image in a resist layer after the exposure), or on a semi-latent image (image in a resist layer after a post-exposure bake step PEB), or on a developed resist image (in which the exposed or unexposed parts of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).
  • a photonic integrated circuit (PIC) alignment sensor comprising: a plurality of apodized grating emitters, where each apodized grating emitter is configured to emit illumination of a particular wavelength range, where the plurality of apodized grating emitters are configured to illuminate a target; and a plurality of apodized grating detectors configured to receive diffracted illumination from the target, where each apodized grating detector is configured to detect illumination of a particular wavelength range; and at least one multi-mode interferometer used to interfere illumination from at least two of the plurality of apodized grating detectors; and a waveguide configured to propagate the interfered illumination from the at least one multi-mode interferometer to a detector.
  • PIC photonic integrated circuit
  • the plurality of apodized grating detectors comprise at least a pair of apodized grating detectors configured to receive diffracted illumination from the target at a first wavelength and wherein a first multi-mode interferometer interferes signals from the pair of apodized grating detectors.
  • the signals from the pair of apodized grating detectors travel along optical paths of substantially the same length before interfering at the first multi-mode interferometer.
  • a first apodized grating emitter of the plurality of apodized grating emitters illuminates the target at the first wavelength and wherein the pair of apodized grating detectors are arranged at positions corresponding to positive and negative diffractions of the target of a given order with respect to a position of the first apodized grating emitter.
  • the pair of apodized grating detectors and the plurality of apodized grating emitters are substantially coplanar and wherein the positions of the pair of apodized grating detectors correspond to the positions of the positive and negative diffractions of the target of the given order at a working distance determined based on the position of the first apodized grating emitter and the plane containing the first apodized grating emitter and the pair of apodized grating detectors.
  • a sensor comprising a plurality of the PIC sensors of any other embodiment.
  • a method for measuring alignment comprising: illuminating a target by emitting illumination from a plurality of apodized grating emitters, each apodized grating emitters emitting illumination of a particular wavelength range; capturing illumination diffracted by the target with a plurality of apodized grating detectors, each grating detector detecting illumination of a particular wavelength range; interfering with at least one multi-mode interferometer at least some of the illumination captured by the plurality of apodized grating detectors; and determining a characteristic of the target based on the interference.
  • each target illuminated by emitting illumination from a plurality of apodized grating emitters, each apodized grating emitter emitting illumination of a particular wavelength range; capturing illumination diffracted by the plurality of targets, illumination captured for each target with a plurality of apodized grating detectors, each grating detector detecting illumination of a particular wavelength range; for each target, interfering with at least one multi-mode interferometer at least some of the illumination captured by the plurality of apodized grating detectors; and determining a characteristic for each of the plurality of targets based on the interference
  • a machine -readable medium having instructions thereon, the instructions when executed by a processor being configured to perform the method of another embodiment.
  • a processor and a machine -readable medium as described in another embodiment.
  • Figure 1 depicts a schematic overview of a lithographic apparatus, according to an embodiment.
  • Figure 2 depicts a schematic overview of a lithographic cell, according to an embodiment.
  • Figure 3 depicts a schematic representation of holistic lithography, representing a cooperation between three technologies to optimize semiconductor manufacturing, according to an embodiment.
  • Figure 4 illustrates an example metrology apparatus, according to an embodiment.
  • Figures 5A and 5B depict schematic representations of a measurement structure and photonic chip for interference-based alignment sensing, according to an embodiment.
  • Figure 6 depicts an example grating structure for interference-based alignment sensing, according to an embodiment.
  • Figure 7 depicts a schematic representation of a photonic chip for interference -based alignment sensing, according to an embodiment.
  • Figure 8 is a schematic representation of an example system with a photonic chip for interference-based alignment sensing, according to an embodiment.
  • Figure 9 depicts a schematic representation of an example relationship between grating structures of a photonic chip for interference-based alignment sensing, according to an embodiment.
  • Figure 10 depicts an example system for interference-based alignment sensing with multiple photonic chips, according to an embodiment.
  • Figure 11 illustrates an exemplary method for interference-based alignment sensing with a photonic chip, according to an embodiment.
  • Figure 12 is a block diagram of an example computer system, according to an embodiment of the present disclosure.
  • Embodiments described as being implemented in software should not be limited thereto, but can include embodiments implemented in hardware, or combinations of software and hardware, and vice-versa, as will be apparent to those skilled in the art, unless otherwise specified herein.
  • an embodiment showing a singular component should not be considered limiting; rather, the disclosure is intended to encompass other embodiments including a plurality of the same component, and vice-versa, unless explicitly stated otherwise herein.
  • the present disclosure encompasses present and future known equivalents to the known components referred to herein by way of illustration.
  • the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g., with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g., having a wavelength in the range of about 5-100 nm).
  • wavelength is used to encompass a wavelength range.
  • an emitted (or diffracted, transmitted, detected, etc.) wavelength may encompass a range of wavelengths, such as with a non-zero bandwidth (or full width half max (FWHM)).
  • a wavelength may have a narrow wavelength range, such as 1 nm, 0.5 nm, 30 femtometers (fm), etc., and may be produced by a coherent source.
  • a wavelength range may be centered on or otherwise include a representative wavelength.
  • a wavelength range may be a closed or open interval.
  • the term “apodized” is used to encompass a tailoring of an optical element, such as one or more elements of a diffraction grating, which affects optical properties. “Apodized” does not require gradual tapering of diffractive steps from the center of a lens towards the outside edge, but may be modification by an appropriate tailoring such as described by one or more mathematical function.
  • a (e.g., semiconductor) patterning device can comprise, or can form, one or more patterns.
  • the pattern can be generated utilizing CAD (computer-aided design) programs, based on a pattern or design layout, this process often being referred to as EDA (electronic design automation).
  • EDA electronic design automation
  • Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patterning devices. These rules are set by processing and design limitations. For example, design rules define the space tolerance between devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the devices or lines do not interact with one another in an undesirable way.
  • the design rules may include and/or specify specific parameters, limits on and/or ranges for parameters, and/or other information.
  • critical dimension One or more of the design rule limitations and/or parameters may be referred to as a “critical dimension” (CD).
  • a critical dimension of a device can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes, or other features. Thus, the CD determines the overall size and density of the designed device.
  • One of the goals in device fabrication is to faithfully reproduce the original design intent on the substrate (via the patterning device).
  • mask or “patterning device” as employed in this text may be broadly interpreted as referring to a generic semiconductor patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “light valve” can also be used in this context.
  • the classic mask transmissive or reflective; binary, phase-shifting, hybrid, etc.
  • examples of other such patterning devices include a programmable mirror array and a programmable LCD array.
  • patterning process generally means a process that creates an etched substrate by the application of specified patterns of light as part of a lithography process.
  • patterning process can also include (e.g., plasma) etching, as many of the features described herein can provide benefits to forming printed patterns using etch (e.g., plasma) processing.
  • pattern means an idealized pattern that is to be etched on a substrate (e.g., wafer) - e.g., based on the design layout described above.
  • a pattern may comprise, for example, various shape(s), arrangement(s) of features, contour(s), etc.
  • a “printed pattern” means the physical pattern on a substrate that was etched based on a target pattern.
  • the printed pattern can include, for example, troughs, channels, depressions, edges, or other two- and three-dimensional features resulting from a lithography process.
  • the term “prediction model”, “process model”, “electronic model”, and/or “simulation model” means a model that includes one or more models that simulate a patterning process.
  • a model can include an optical model (e.g., that models a lens system/proj ection system used to deliver light in a lithography process and may include modelling the final optical image of light that goes onto a photoresist), a resist model (e.g., that models physical effects of the resist, such as chemical effects due to the light), an OPC model (e.g., that can be used to make target patterns and may include sub-resolution resist features (SRAFs), etc.), an etch (or etch bias) model (e.g., that simulates the physical effects of an etching process on a printed wafer pattern), a source mask optimization (SMO) model, and/or other models.
  • the term “calibrating” means to modify (e.g., improve or tune) and/or validate a model, an algorithm, and/or other components of a present system and/or method.
  • a patterning system may be a system comprising any or all of the components described above, plus other components configured to performing any or all of the operations associated with these components.
  • a patterning system may include a lithographic projection apparatus, a scanner, systems configured to apply and/or remove resist, etching systems, and/or other systems, for example.
  • the term “diffraction” refers to the behavior of a beam of light or other electromagnetic radiation when encountering an aperture or series of apertures, including a periodic structure or grating. “Diffraction” can include both constructive and destructive interference, including scattering effects and interferometry.
  • a “grating” is a periodic structure, which can be one-dimensional (i.e., comprised of posts of dots), two-dimensional, or three- dimensional, and which causes optical interference, scattering, or diffraction.
  • a “grating” can be a diffraction grating.
  • individual may refer to multiple of an item, such as each item A has individual item B, where an item A may have two of an item B.
  • Values should further be taken to include ranges, such as ⁇ 10%. Ranges should be taken to include endpoints.
  • FIG. 1 schematically depicts a lithographic apparatus FA.
  • the lithographic apparatus LA includes an illumination system (also referred to as illuminator) IL configured to condition a radiation beam B (e.g., UV radiation, DUV radiation or EUV radiation), a mask support (e.g., a mask table) T constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA in accordance with certain parameters, a substrate support (e.g., a wafer table) WT configured to hold a substrate (e.g., a resist coated wafer) W and coupled to a second positioner PW configured to accurately position the substrate support in accordance with certain parameters, and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies)
  • the illumination system IL receives a radiation beam from a radiation source SO, e.g., via a beam delivery system BD.
  • the illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, and/or other types of optical components, or any combination thereof, for directing, shaping, and/or controlling radiation.
  • the illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.
  • projection system PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and/or electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system” PS.
  • the lithographic apparatus LA may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system PS and the substrate W - which is also referred to as immersion lithography. More information on immersion techniques is given in US6952253, which is incorporated herein by reference.
  • the lithographic apparatus LA may also be of a type having two or more substrate supports WT (also named “dual stage”). In such “multiple stage” machine, the substrate supports WT may be used in parallel, and/or steps in preparation of a subsequent exposure of the substrate W may be carried out on the substrate W located on one of the substrate support WT while another substrate W on the other substrate support WT is being used for exposing a pattern on the other substrate W.
  • the lithographic apparatus LA may comprise a measurement stage.
  • the measurement stage is arranged to hold a sensor and/or a cleaning device.
  • the sensor may be arranged to measure a property of the projection system PS or a property of the radiation beam B.
  • the measurement stage may hold multiple sensors.
  • the cleaning device may be arranged to clean part of the lithographic apparatus, for example a part of the projection system PS or a part of a system that provides the immersion liquid.
  • the measurement stage may move beneath the projection system PS when the substrate support WT is away from the projection system PS.
  • the radiation beam B is incident on the patterning device, e.g., mask, MA which is held on the mask support MT, and is patterned by the pattern (design layout) present on patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and a position measurement system IF, the substrate support WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B at a focused and aligned position.
  • the patterning device e.g., mask, MA which is held on the mask support MT, and is patterned by the pattern (design layout) present on patterning device MA.
  • the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the substrate support WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B at
  • first positioner PM and possibly another position sensor may be used to accurately position the patterning device MA with respect to the path of the radiation beam B.
  • Patterning device MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks Pl, P2.
  • substrate alignment marks Pl, P2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions.
  • Substrate alignment marks Pl, P2 are known as scribe-lane alignment marks when these are located between the target portions C.
  • FIG. 2 depicts a schematic overview of a lithographic cell LC.
  • the lithographic apparatus LA may form part of lithographic cell LC, also sometimes referred to as a lithocell or (litho)cluster, which often also includes apparatus to perform pre- and post-exposure processes on a substrate W.
  • these include spin coaters SC configured to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK, e.g. for conditioning the temperature of substrates ,W e.g., for conditioning solvents in the resist layers.
  • a substrate handler, or robot, RO picks up substrates W from input/output ports I/Ol, I/O2, moves them between the different process apparatus and delivers the substrates W to the loading bay LB of the lithographic apparatus LA.
  • the devices in the lithocell which are often also collectively referred to as the track, are typically under the control of a track control unit TCU that in itself may be controlled by a supervisory control system SCS, which may also control the lithographic apparatus LA, e.g., via lithography control unit LACU.
  • inspection tools may be included in the lithocell LC. If errors are detected, adjustments, for example, may be made to exposures of subsequent substrates or to other processing steps that are to be performed on the substrates W, especially if the inspection is done before other substrates W of the same batch or lot are still to be exposed or processed.
  • An inspection apparatus which may also be referred to as a metrology apparatus, is used to determine properties of the substrates W ( Figure 1), and, in particular, how properties of different substrates W vary or how properties associated with different layers of the same substrate W vary from layer to layer.
  • the inspection apparatus may alternatively be constructed to identify defects on the substrate W and may, for example, be part of the lithocell LC, or may be integrated into the lithographic apparatus LA, or may even be a stand-alone device.
  • the inspection apparatus may measure the properties on a latent image (image in a resist layer after the exposure), or on a semi- latent image (image in a resist layer after a post-exposure bake step PEB), or on a developed resist image (in which the exposed or unexposed parts of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).
  • Figure 3 depicts a schematic representation of holistic lithography, representing a cooperation between three technologies to optimize semiconductor manufacturing.
  • the patterning process in a lithographic apparatus LA is one of the most critical steps in the processing which requires high accuracy of dimensioning and placement of structures on the substrate W ( Figure 1).
  • three systems may be combined in a so called “holistic” control environment as schematically depicted in Figure. 3.
  • One of these systems is the lithographic apparatus LA which is (virtually) connected to a metrology apparatus (e.g., a metrology tool) MT (a second system), and to a computer system CL (a third system).
  • a metrology apparatus e.g., a metrology tool
  • CL a third system
  • a “holistic” environment may be configured to optimize the cooperation between these three systems to enhance the overall process window and provide tight control loops to ensure that the patterning performed by the lithographic apparatus LA stays within a process window.
  • the process window defines a range of process parameters (e.g., dose, focus, overlay) within which a specific manufacturing process yields a defined result (e.g., a functional semiconductor device) - typically within which the process parameters in the lithographic process or patterning process are allowed to vary.
  • the computer system CL may use (part of) the design layout to be patterned to predict which resolution enhancement techniques to use and to perform computational lithography simulations and calculations to determine which mask layout and lithographic apparatus settings achieve the largest overall process window of the patterning process (depicted in Figure 3 by the double arrow in the first scale SCI).
  • the resolution enhancement techniques are arranged to match the patterning possibilities of the lithographic apparatus LA.
  • the computer system CL may also be used to detect where within the process window the lithographic apparatus LA is currently operating (e.g., using input from the metrology tool MT) to predict whether defects may be present due to, for example, sub-optimal processing (depicted in Figure 3 by the arrow pointing “0” in the second scale SC2).
  • the metrology apparatus (tool) MT may provide input to the computer system CL to enable accurate simulations and predictions, and may provide feedback to the lithographic apparatus LA to identify possible drifts, e.g., in a calibration status of the lithographic apparatus LA (depicted in Figure 3 by the multiple arrows in the third scale SC3).
  • lithographic processes it is desirable to make frequent measurements of the structures created, e.g., for process control and verification.
  • Different types of metrology tools MT for making such measurements are known, including scanning electron microscopes or various forms of optical metrology tool, image based or scatterometery-based metrology tools.
  • Scatterometers are versatile instruments which allow measurements of the parameters of a lithographic process by having a sensor in the pupil or a conjugate plane with the pupil of the objective of the scatterometer, measurements usually referred as pupil-based measurements, or by having the sensor in the image plane or a plane conjugate with the image plane, in which case the measurements are usually referred as image or field-based measurements.
  • Aforementioned scatterometers may measure features of a substrate such as gratings using light from soft x-ray and visible to near-IR wavelength range, for example.
  • a scatterometer MT is an angular resolved scatterometer.
  • scatterometer reconstruction methods may be applied to the measured signal to reconstruct or calculate properties of a grating and/or other features in a substrate.
  • Such reconstruction may, for example, result from simulating interaction of scattered radiation with a mathematical model of the target structure and comparing the simulation results with those of a measurement. Parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern similar to that observed from the real target.
  • scatterometer MT is a spectroscopic scatterometer MT.
  • spectroscopic scatterometer MT may be configured such that the radiation emitted by a radiation source is directed onto target features of a substrate and the reflected or scattered radiation from the target is directed to a spectrometer detector, which measures a spectrum (i.e., a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile of the target giving rise to the detected spectrum may be reconstructed, e.g., by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra.
  • scatterometer MT is an ellipsometric scatterometer.
  • the ellipsometric scatterometer allows for determining parameters of a lithographic process by measuring scattered radiation for each polarization states.
  • Such a metrology apparatus (MT) emits polarized light (such as linear, circular, or elliptic) by using, for example, appropriate polarization filters in the illumination section of the metrology apparatus.
  • a source suitable for the metrology apparatus may provide polarized radiation as well.
  • scatterometer MT is adapted to measure the overlay of two misaligned gratings or periodic structures (and/or other target features of a substrate) by measuring asymmetry in the reflected spectrum and/or the detection configuration, the asymmetry being related to the extent of the overlay.
  • the two (typically overlapping) grating structures may be applied in two different layers (not necessarily consecutive layers), and may be formed substantially at the same position on the wafer.
  • the scatterometer may have a symmetrical detection configuration as described e.g., in patent application EP 1,628, 164A, such that any asymmetry is clearly distinguishable. This provides a way to measure misalignment in gratings. Further examples for measuring overlay may be found in PCT patent application publication no. WO 2011/012624 or US patent application US 20160161863, incorporated herein by reference in their entirety.
  • Focus and dose used in lithography process may be determined by scatterometry (or alternatively by scanning electron microscopy) as described in US patent application US2011- 0249244, incorporated herein by reference in its entirety.
  • a single structure e.g., feature in a substrate
  • FEM focus energy matrix
  • Focus Exposure Matrix a focus energy matrix
  • a metrology target may be an ensemble of composite gratings and/or other features in a substrate, formed by a lithographic process, commonly in resist, but also after etch processes, for example.
  • the pitch and linewidth of the structures in the gratings depend on the measurement optics (in particular the NA of the optics) to be able to capture diffraction orders coming from the metrology targets.
  • a diffracted signal may be used to determine shifts between two layers (also referred to “overlay”) or may be used to reconstruct at least part of the original grating as produced by the lithographic process. This reconstruction may be used to provide guidance of the quality of the lithographic process and may be used to control at least part of the lithographic process.
  • Targets may have smaller sub-segmentation which are configured to mimic dimensions of the functional part of the design layout in a target. Due to this sub-segmentation, the targets will behave more similarly to the functional part of the design layout such that the overall process parameter measurements resemble the functional part of the design layout.
  • the targets may be measured in an underfilled mode or in an overfilled mode. In the underfilled mode, the measurement beam generates a spot that is smaller than the overall target. In the overfilled mode, the measurement beam generates a spot that is larger than the overall target. In such overfilled mode, it may also be possible to measure different targets simultaneously, thus determining different processing parameters at the same time.
  • substrate measurement recipe may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both.
  • the measurement used in a substrate measurement recipe is a diffraction-based optical measurement
  • one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc.
  • One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations. More examples are described in US patent application US2016-0161863 and published US patent application US 2016/0370717Alincorporated herein by reference in its entirety.
  • FIG 4 illustrates an example metrology apparatus (tool) MT, such as a scatterometer.
  • MT comprises a broadband (white light) radiation projector 40 which projects radiation onto a substrate 42.
  • the reflected or scattered radiation is passed to a spectrometer detector 44, which measures a spectrum 46 (i.e., a measurement of intensity as a function of wavelength) of the specular reflected radiation.
  • a spectrum 46 i.e., a measurement of intensity as a function of wavelength
  • processing unit PU e.g., by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom of Figure 4.
  • the general form of the structure is known and some parameters are assumed from knowledge of the process by which the structure was made, leaving only a few parameters of the structure to be determined from the scatterometry data.
  • a scatterometer may be configured as a normal-incidence scatterometer or an oblique-incidence scatterometer, for example.
  • Computational determination may comprise simulation and/or modeling, for example. Models and/or simulations may be provided for one or more parts of the manufacturing process.
  • the objective of a simulation may be to accurately predict, for example, metrology metrics (e.g., overlay, a critical dimension, a reconstruction of a three dimensional profile of features of a substrate, a dose or focus of a lithography apparatus at a moment when the features of the substrate were printed with the lithography apparatus, etc.), manufacturing process parameters (e.g., edge placements, aerial image intensity slopes, sub resolution assist features (SRAF), etc.), and/or other information which can then be used to determine whether an intended or target design has been achieved.
  • the intended design is generally defined as a pre-optical proximity correction design layout which can be provided in a standardized digital file format such as GDSII, OASIS or another file format.
  • Simulation and/or modeling can be used to determine one or more metrology metrics (e.g., performing overlay and/or other metrology measurements), configure one or more features of the patterning device pattern (e.g., performing optical proximity correction), configure one or more features of the illumination (e.g., changing one or more characteristics of a spatial / angular intensity distribution of the illumination, such as change a shape), configure one or more features of the projection optics (e.g., numerical aperture, etc.), and/or for other purposes.
  • Such determination and/or configuration can be generally referred to as mask optimization, source optimization, and/or projection optimization, for example. Such optimizations can be performed on their own, or combined in different combinations.
  • SMO source-mask optimization
  • the optimizations may use the parameterized model described herein to predict values of various parameters (including images, etc.), for example.
  • an optimization process of a system may be represented as a cost function.
  • the optimization process may comprise finding a set of parameters (design variables, process variables, etc.) of the system that minimizes the cost function.
  • the cost function can have any suitable form depending on the goal of the optimization.
  • the cost function can be weighted root mean square (RMS) of deviations of certain characteristics (evaluation points) of the system with respect to the intended values (e.g., ideal values) of these characteristics.
  • the cost function can also be the maximum of these deviations (i.e., worst deviation).
  • evaluation points should be interpreted broadly to include any characteristics of the system or fabrication method.
  • the design and/or process variables of the system can be confined to finite ranges and/or be interdependent due to practicalities of implementations of the system and/or method.
  • the constraints are often associated with physical properties and characteristics of the hardware such as tunable ranges, and/or patterning device manufacturability design rules.
  • the evaluation points can include physical points on a resist image on a substrate, as well as non-physical characteristics such as dose and focus, for example.
  • Figures 5A and 5B depict schematic representations of a measurement structure and photonic chip for interference-based alignment sensing.
  • Figure 5A depicts a perspective view of an example measurement structure of alignment mark 500.
  • the alignment mark 500 is depicted as a diffraction grating, but may be any appropriate alignment mark, including a buried grating, multiple gratings, etc.
  • the alignment mark 500 may have any appropriate pitch.
  • the alignment mark 500 may have a pitch of 1.6 micrometer (pm), 3.2 pm, etc.
  • the alignment mark 500 is depicted with respect to orthogonal axes, including X axis 510, Y axis 514 and Z axis 518. Various structures may be rotated about the depicted axes.
  • the alignment mark 500 is depicted as having a periodic pitch along the X axis 510, but can instead have a pitch (or another feature) along the Z axis 518, along both the X axis 510 and the Z axis 518, etc.
  • the alignment mark 500 is depicted as projecting (e.g., varying in height) along the Y axis 514.
  • the alignment mark 500 may instead or additionally differ in material (e.g., be a buried grating of varying material) instead of in height along the Y axis 514.
  • the alignment mark 500 may produce interference -based information based on illumination, such as of visible light, from with alignment information may be extracted.
  • the alignment mark 500 may be illuminated by incident radiation 530.
  • the incident radiation 530 may be of any appropriate wavelength, such as having a wavelength of 532 nm, 635 nm, 780 nm, 850 nm, etc.
  • the incident radiation 530 may be visible radiation, e.g., visible light, near-visible light, ultraviolet light, e.g., UV, EUV, etc.
  • the incident radiation 530 may have any appropriate polarization, such as transverse electric (TE), such as along a direction 534, transverse magnetic (TM), such as along a direction 532, circular polarization, elliptical polarization, etc.
  • TE transverse electric
  • TM transverse magnetic
  • the incident radiation may be unpolarized.
  • the incident radiation 530 may be emitted by a photonic chip.
  • the incident radiation 530 may be diffracted by the alignment mark 500.
  • the incident radiation 530 may illuminate the alignment mark 500 at an oblique angle of incidence.
  • the incident radiation 530 may be diffracted by the alignment mark 500.
  • the incident radiation 530 may produce a zeroth order diffraction 540, which may be or include passed through or reflected radiation.
  • the zeroth order diffraction 540 may exit the alignment mark 500 at an oblique angle, which may be substantially equivalent to the oblique angle of incidence.
  • the zeroth order diffraction 540 may be of the same or different polarization as the incident radiation 530.
  • the incident radiation 530 may produce first (e.g., +1 and -1) order diffractions 550A and 550B.
  • the first order diffractions 550A and 550B may carry phase information about the alignment mark 500, which may include information from which a position of the alignment mark may be extracted,
  • phase information contained in first order diffractions 550A and 550B, or another appropriate diffraction may be given by Equation 1, below:
  • a ⁇ p — (sin 0 s )Ax (1)
  • a ⁇ p is the shift phase of the first order diffraction
  • A is the wavelength of the radiation
  • 9 S is the diffraction angle
  • Ax is the shift of the alignment mark in the x direction
  • sin 0 s may be determined based on the P pitch of the alignment mark, such as based on Equation 2, below: where n is the diffraction order.
  • the position (e.g., of the alignment mark) with respect to the phase change may then be given by Equation 3, below: where n is the diffraction order and A ⁇ pzie is the phase shift of the nth order diffraction.
  • first order diffractions are depicted (e.g., first order diffractions 550A and 550B), higher order diffractions may be used additionally or instead.
  • the incident radiation 530 may be TE polarized (e.g., along the direction 534), while the first order diffractions 550A and 550B are TM polarized, such as along directions 552 and 558.
  • Photonic chips may be fabricated to preferentially emit and detect radiation or various polarizations.
  • the first order diffractions 550A and 550B may have non-negligible TE polarization, such as along the directions 554 and 556.
  • the zeroth order diffraction 540 may contain substantial portions of TE polarized light, such as along the direction 544, and substantial portions of TM polarized light, such as along the direction 542.
  • FIG. 5B depicts a cross-sectional view of the example alignment mark 500 with respect to an example photonic chip 565.
  • the photonic chip 565 which may be a photonic integrated circuit (PIC), may contain a radiation emitter 560, which may be an apodized grating emitter.
  • the photonic chip 565 may contain a radiation detector 570 (e.g., radiation collector), which may be an apodized grating detector.
  • the photonic chip 565 may contain additional electrical and photonic (e.g., optical) devices, including waveguides, interferometers, photodiodes, etc.
  • the photonic chip 565 may be fabricated homogeneously, such as through large scale (e.g., VLSI) or other integration.
  • the photonic chip 565 may include heterogeneously integrated elements, such as optical fibers, memory, processors, power circuits, etc.
  • the photonic chip 565 may operate at a working distance 590 from the alignment mark 500.
  • the working distance may be any appropriate distance, such as 1 mm, 3mm, 5 mm, etc.
  • the working distance 590 may be measured perpendicular to a longitudinal plane of the photonic chip 565. In some embodiments, the working distance 590 may be measured along the path of the incident radiation 530, along the path of a first order diffraction 550, or in any other appropriate manner.
  • the radiation emitter 560 may emit the incident radiation 530 at an oblique angle 580 with respect to the photonic circuit.
  • the incident radiation 530 may interact with the alignment mark 500 at an oblique angle 582, which may or may not be substantially equal to the oblique angle 580.
  • the oblique angle 582 may be different than the oblique angle 580 the photonic chip 565 and the alignment mark 500 are not substantially parallel.
  • the incident radiation 530 may be diffracted in the zeroth order diffraction 540 at an angle 584, which may or may not be an oblique angle with respect to the alignment mark 500 (or a direction normal to its surface).
  • the incident radiation 530 may be diffracted in the first order diffraction at an angle 586 with respect to the alignment mark 500.
  • the angle 586 may be determined based on the grating pitch and diffraction order number, such as by the relationship show in Equation 2, above.
  • the first order diffraction 550 may also have a non- negligible vector component in the z-direction, not depicted.
  • the first order diffraction 550 may be made up of two components (e.g., the +1 and -1 diffraction orders), each with significant vector components along the positive and negative Z axis 518 (which are not depicted in the cross section which is the projection of such components onto the XY plane).
  • the first order diffraction 550 may be detected (e.g., collected) by the radiation detector 570.
  • the first order diffraction 550 may be incident on the radiation detector 570 at an angle 588.
  • the angle 588 may be an oblique angle.
  • the alignment mark 500 may be supported by or integrated into a fabricated device 502, which may be a lithographic cell.
  • Figure 6 depicts an example grating structure for interference-based alignment sensing.
  • Figure 6 depicts a plan view of an apodized grating coupler, which may be a radiation emitter or radiation detector.
  • the apodized grating coupler may emit (or receive) radiation which illuminates the alignment mark, such as the alignment mark 500 of Figures 5 A and 5B.
  • the apodized grating coupler may be approximately a continuous scatterer.
  • the apodized grating coupler may include a waveguide 630.
  • the waveguide 630 may be any appropriate waveguide, such as an integrated waveguide, a silicon waveguide, etc.
  • the waveguide 630 may receive (or transmit) light via a waveguide input 632.
  • the waveguide 630 may receive (or transmit) radiation from (to) any appropriate source (detector), such as from a photodiode (e.g., LED) (or to a photodetector).
  • a photodiode e
  • the apodized grating coupler may include a free propagation region 620.
  • the free propagation region 620 may be of the same or different material as makes up the waveguide 630.
  • the free propagation region 620 may be substantially arc-shaped, with a radius 622 and an angle 624.
  • the free propagation region 620 may be of any appropriate shape.
  • the apodized grating coupler may contain a grating 610, which may consist of multiple periodic gratings 612.
  • the periodic gratings 612 may be of varying thicknesses.
  • the periodic gratings 612 may have substantially equal separation or may have varying separations. Although 9 periodic gratings 612 are depicted, it should be understood that many more periodic gratings 612 may be present.
  • the apodised grating coupler may have 100, 1000, 10,000, or more periodic gratings 612.
  • the periodic gratings 612 may have varying pitch P, fill factor FF, etch length AL, etc.
  • the variation of the periodic gratings 612 may be selected to allow coupling of the radiation of the waveguide and emitted (or detected) radiation, such as to improve efficiency of the apodized grating coupler.
  • the variation of the periodic gratings 612 may be selected to allow for coupling of emitted (or detected) radiation with the apodized grating coupler over a range of angles of incidence, such as to account for variations alignment marks.
  • Figure 7 depicts a schematic representation of a photonic chip for interference -based alignment sensing.
  • Figure 7 depicts a plan view of a photonic chip 700 containing multiple grating emitters and grating detectors (e.g., grating collectors).
  • the photonic chip 700 may be of any appropriate size.
  • the photonic chip 700 may have a footprint of approximately 5 mm by 10 mm, of 5 mm by 5 mm, of 10 mm by 10 mm, etc.
  • the photonic chip 700 may contain multiple grating emitters, such as grating emitters 710, 712, 714, and 716.
  • Each of the grating emitters may emit incident radiation (that is, incident upon an alignment mark) of a specific wavelength (which may include a wavelength range as previously described) and polarization.
  • Each of the grating emitters 710, 712, 714, and 716 may receive input (e.g., radiation) from a waveguide, such as waveguides 720, 722, 724, and 726, respectively.
  • Each of the waveguides 720, 722, 724, and 726 may receive radiation from a radiation source, such as radiation source 730, 732, 734, and 736, respectively, which may be any appropriate radiation source.
  • the grating emitters 710, 712, 714, and 716 may be aligned along a longitudinal axis 790.
  • An alignment mark 798 may lie along the longitudinal axis 790, such as at the intersection of the longitudinal axis 790 and a longitudinal axis 792.
  • the alignment mark 798 is depicted in the plane of the photonic chip for each description, but may be separated from the photonic chip 700 by a working distance (e.g., may be out of plane.
  • the longitudinal axis 790 and the longitudinal axis 792 are depicted as substantially perpendicular, but may have any appropriate angular relationship.
  • the alignment mark 798 may have a grating with substantial periodicity along the longitudinal axis 792.
  • the alignment mark 798 may have grating elements which are substantially parallel to the longitudinal axis 790.
  • the alignment mark 798 may have gratings which vary periodically along the Z axis (e.g., in the XZ plane and separated from the photonic chip 700 along the Y axis).
  • Each of the grating emitters 710, 712, 714, and 716 may emit radiation towards the alignment mark 798.
  • Each of the grating emitters 710, 712, 714, and 716 may emit radiation towards the same alignment mark, such as the alignment mark 798.
  • Each of the grating emitters 710, 712, 714, and 716 may emit radiation with a different angle of incidence on the alignment mark 798.
  • the grating emitters 710, 712, 714, and 716 may emit radiation with different angles of emission with respect to the photonic chip 700. In some embodiments, the grating emitters 710, 712, 714, and 716 may emit radiation with different angles of emission with respect to the alignment mark 798.
  • the photonic chip 700 may contain multiple grating detectors, such as grating detectors 740A, 740B, 742A, 742B, 744A, 744B, 746A, and 746B.
  • grating detectors 740A, 740B, 742A, 742B, 744A, 744B, 746A, and 746B may detect incident radiation (that is, radiation that is incident upon the detector and which may correspond to diffracted radiation from an alignment mark) of a specific wavelength and polarization.
  • a grating detector may detect radiation of multiple wavelengths (such as two or more wavelengths) or multiple polarizations.
  • Each of the grating detectors 740A, 740B, 742A, 742B, 744A, 744B, 746A, and 746B may detect a diffraction from an alignment mark, such as the alignment mark 798.
  • Each of the grating detectors 740A, 740B, 742A, 742B, 744A, 744B, 746A, and 746B may detect a positive or negative diffraction order from the alignment mark 798 — such as +1, -1, +2, -2, etc.
  • Each of the grating detectors 740A, 740B, 742A, 742B, 744A, 744B, 746A, and 746B may correspond to a specific diffraction from the alignment mark 798.
  • Each of the grating detectors 740A, 740B, 742A, 742B, 744A, 744B, 746A, and 746B may correspond to a specific diffraction of an alignment mark, such as the alignment mark 798, caused by specific illumination of the alignment mark by one of the grating emitters 710, 712, 714, 716.
  • the grating detectors 740A and 740B may correspond (e.g., collect, detect, etc.) to the first order diffractions of the illumination emitted by the grating emitter 710 from the alignment mark 798.
  • the grating detectors 742 A and 742B may correspond to the first order diffractions of the illumination emitted by the grating emitter 712 from the alignment mark 798.
  • the grating detectors 744 A and 744B may correspond to the first order diffractions of the illumination emitted by the grating emitter 714 from the alignment mark 798.
  • the grating detectors 746 A and 746B may correspond to the first order diffractions of the illumination emitted by the grating emitter 716 from the alignment mark 798.
  • the grating detectors may be arranged in pairs, where a pair of grating detectors may correspond to a positive and negative nth order diffraction from a specific grating emitter.
  • the grating detectors of a pair may be aligned symmetrically about the longitudinal axis 790 or another longitudinal axis containing an alignment mark.
  • the grating detectors of a pair may be aligned opposite a corresponding grating emitter, such as about the longitudinal axis 792 or another longitudinal axis containing an alignment mark.
  • a grating emitter may correspond to two or more grating detectors, such as a grating detector which collects a positive first order diffraction, a grating detector which collects a negative first order diffraction, a grating detector which collects a positive second order diffraction, a grating detector which collects a negative second order diffraction, etc.
  • a grating emitter may correspond to two or more grating detectors which may collect diffractions of asymmetric orders, such as a grating detector which collects a negative first order diffraction and a positive second order diffraction.
  • the grating emitters may not be symmetrically arranged about a longitudinal axis containing an alignment mark, such as the longitudinal axis 790.
  • the positions of the grating detectors may be based on the angle of incidence of the incident radiation, such as from a grating emitter, and the angle of diffraction of the incident radiation from the alignment mark.
  • Each of the grating detectors 740A, 740B, 742A, 742B, 744A, 744B, 746A, and 746B may transmit output (e.g., radiation) to a waveguide, such as waveguides 750A, 750B, 752A, 752B, 754A, 754B, 756A, and 756B, respectively.
  • a waveguide such as waveguides 750A, 750B, 752A, 752B, 754A, 754B, 756A, and 756B, respectively.
  • Each of the waveguides 750A, 750B, 752A, 752B, 754A, 754B, 756A, and 756B may have an optical path length substantially equal to an optical path length of another waveguide 750A, 750B, 752A, 752B, 754A, 754B, 756A, and 756B.
  • the waveguides 750A and 750B may have substantially equal optical path lengths.
  • the waveguides 752A and 752B may have substantially equal optical path lengths.
  • the waveguides 754A and 754B may have substantially equal optical path lengths.
  • the waveguides 756A and 756B may have substantially equal optical path lengths. Substantially equal optical path lengths may be equal to within an optical coherence length.
  • Each of the waveguides 750A, 750B, 752A, 752B, 754A, 754B, 756A, and 756B may transmit radiation (such as from the grating detectors 740A, 740B, 742A, 742B, 744A, 744B, 746A, and 746B) to an interferometer, such as one of interferometers 760, 762, 764, and 766.
  • the interferometers 760, 762, 764, and 766 may be multi-mode interferometers (MMI).
  • MMI multi-mode interferometers
  • the interferometers 760, 762, 764, and 766 may interfere (e.g., constructively and destructively) radiation detected by multiple grating detectors.
  • the interferometer 760 may interfere radiation detected by the grating detectors 740 A and 740B.
  • the interferometer 762 may interfere radiation detected by the grating detectors 742 A and 742B.
  • the interferometer 764 may interfere radiation detected by the grating detectors 744 A and 744B.
  • the interferometer 766 may interfere radiation detected by the grating detectors 746 A and 746B.
  • interferometers may interfere two or more inputs (e.g., radiation, light, optical inputs).
  • interferometers may interfere detected radiation (e.g., from a grating detector) and radiation from a reference source.
  • one or more interferometers may interfere radiation from a test source (e.g., collected by a grating detector) and from a reference source, which may be a source used to supply radiation to a grating emitter for diffraction by the alignment mark.
  • signals from one or more grating detectors may be multiplexed (or demultiplexed) before or after transmission along a waveguide.
  • signals at different wavelengths may be transmitted along the same waveguide.
  • parts of a waveguide may consist of an optical fiber or be in communication with an optical fiber.
  • a waveguide may be an optical fiber.
  • Signals from each of the interferometers 760, 762, 764, and 766 may be transmitted by a waveguide 770, 772, 774, 776, respectively, to a radiation detector 780, 782, 784, and 786, respectively.
  • the radiation detectors 780, 782, 784, and 786 may detect radiation intensity.
  • the radiation detectors 780, 782, 784, and 786 may detect radiation intensity as a function of wavelength.
  • the radiation detectors may detect 780, 782, 784, and 786 phase information (such as based on intensity of constructive and destructive interference) from the radiation detected at one or more of the grating detectors 740A, 740B, 742A, 742B, 744A, 744B, 746A, and 746B. Based on output of the radiation detectors 780, 782, 784, and 786, a position of the alignment mark may be determined.
  • Figure 8 is a schematic representation of an example system with a photonic chip for interference-based alignment sensing.
  • Figure 8 is perspective view of an alignment mark 800, a grating emitter 820, and a pair of grating detectors 840A and 840B.
  • the grating emitter 820 received light (e.g., an input radiation) from an optical source (e.g., a laser, photodiode, etc.) (not depicted) via a waveguide 810.
  • the grating emitter 820 emits illumination 822 (e.g., radiation, optical radiation, UV radiation, etc.) towards the alignment mark 800.
  • illumination 822 e.g., radiation, optical radiation, UV radiation, etc.
  • the illumination 822 may be emitted at any appropriate angle, including one which produces an oblique angle when illuminating the alignment mark.
  • the illumination 822 may traverse a working distance to arrive at the alignment mark 800.
  • the illumination 822 may be focused on the alignment mark 800, such as in a Gaussian intensity distribution as shown on graph 830.
  • the intensity may be distributed in a multivariate Gaussian distribution, an intensity distribution with two or more maxima, or any other appropriate intensity distribution.
  • the intensity of the illumination 822 may be focused in the plane of the alignment mark 800 (e.g., in the XZ plane) even if the illumination 822 is off-axis (e.g., incident at an oblique angle) to that plane.
  • the grating emitter 820 may be designed, such as by using apodized gratings, to focus the illumination 822.
  • the alignment mark 800 may diffract the illumination 822.
  • the alignment mark may generate, among other diffractions, a positive first order diffraction 844 and a negative first order diffraction 842.
  • the first order diffractions (e.g., the positive first order diffraction 844 and the negative first order diffraction 842) may exit the alignment mark at an angle with respect to the illumination 822. That angle (e.g., the angle of diffraction) may have components in both the X and Z directions (e.g., components due to diffraction and reflection, respectively).
  • the angle of diffraction may be determined based on the pitch of the alignment mark 800 and the wavelength of the illumination 822.
  • the angle of reflection may be determined based on the incident angle of the illumination 822 at the alignment mark 800.
  • the grating emitter 820 and the grating detectors 840A and 840B may be co-planar (e.g., within a photonic chip). In some embodiments, the grating emitter 820 and the grating detectors 840A and 840B may be substantially non-coplanar. For example, the grating emitter 820 may be recessed with respect to the grating detectors 840A and 840B. In another example, a photonic chip which contains the grating emitter 820 and the grating detectors 840A and 840B may be substantially non-planar, such as curved.
  • the grating detectors 840A and 840B may be located at positions determined based on the position of the grating emitter 820, the angle of diffraction, the working distance, and the topography of the photonic chip.
  • the grating detectors 840A and 840B may be positioned at an intersection of the negative first order diffraction 842 and the positive first order diffraction 844 with the photonic chip at the working distance.
  • the grating detectors 840A and 840B may detect (e.g., capture) the negative first order diffraction 842 and the positive first order diffraction 844, respectively.
  • the grating detectors 840A and 840B may transmit detected light (e.g., illumination, radiation) via waveguides 850A and 850B, respectively, to an interferometer 860.
  • the interferometer 860 may be an MMI.
  • the interferometer may interfere (e.g., constructively and destructively) the signals detected by the grating detectors 840A and 840B, which may generate a signal which corresponds to a phase relationship between the negative first order diffraction 842 and the positive first order diffraction 844.
  • the interferometer 860 may generate an interference pattern, such as that depicted by a graph 870, from multiple inputs and output one or more signals based on the interference, which contain phase information about the multiple inputs. In some embodiments, the interferometer 860 may generate a single output or multiple outputs. In some embodiments, a relationship between multiple outputs may provide phase information about the multiple inputs.
  • a detector 880 which may be an optical detector, may receive the output signal of the interferometer 860 and determine an intensity, a phase, a phase difference, etc.
  • the detector 880 may be used to determine a phase difference between the radiation collected at the grating detectors 840A and 840B, which may be used to determine a position (e.g., relative position, offset, Ax, etc.) of the alignment mark 800.
  • Figure 9 depicts a schematic representation of an example relationship between grating structures of a photonic chip for interference-based alignment sensing.
  • Figure 9 is a plan view of the photonic chip 700 of Figure 7 depicting representations of emitted and diffracted illumination.
  • the grating emitter 710 emits illumination 910, which is diffracted by an alignment mark to produce first order diffractions 920A and 920B, detected by grating detectors 740A and 740B, respectively.
  • the grating emitter 712 emits illumination 912, which is diffracted by an alignment mark to produce first order diffractions 922A and 922B, detected by grating detectors 742A and 742B, respectively.
  • the grating emitter 714 emits illumination 914, which is diffracted by an alignment mark to produce first order diffractions 924A and 924B, detected by grating detectors 744A and 744B, respectively.
  • the grating emitter 716 emits illumination 916, which is diffracted by an alignment mark to produce first order diffractions 926A and 926B, detected by grating detectors 746A and 746B, respectively.
  • the size and shape of a grating emitter may depend on the wavelength and polarization of the illumination emitted.
  • the size and shape of a grating detector may depend on the wavelength and polarization of the illumination detected. [0091]
  • the example representation of Figure 9 includes four grating emitters and eight grating detectors.
  • Each grating emitter in Figure 9 corresponds to two grating detectors. More or fewer grating detectors may correspond to each grating emitter.
  • the grating emitters of Figure 9 are located along a longitudinal axis, but may be off axis to the alignment mark. In some embodiments, the grating emitters may be non-symmetrically aligned.
  • the grating detectors of Figure 9 are symmetrical about the longitudinal axis. In some embodiments, the grating detectors may be asymmetrical. The positions of the grating detectors may depend upon the positions of the grating emitters.
  • Figure 10 depicts an example system for interference-based alignment sensing with multiple photonic chips.
  • Figure 10 is a plan view of an alignment sensing system with photonic chips 1000.
  • the photonic chips 1000 may be the same or different.
  • the photonic chips 1000 may be the photonic chips 700 of Figure 7 and 9.
  • the photonic chips 1000 may be any appropriate photonic chips.
  • the photonic chips 1000 may be part of a sensor array 1010.
  • the sensor array 1010 may be an integrated sensor array.
  • the photonic chips 1000 may be homogeneously integrated into the sensor array 1010.
  • the photonic chips 1000 may be heterogeneously integrated into the sensor array 1010.
  • the sensor array 1010 may contain additional sensing elements (not depicted).
  • the sensor array 1010 may include optical sources, such as one or more optical sources which may provide input illumination to grating emitters of the photonic chips 1000.
  • the sensor array 1010 may include splitters (e.g., beam splitters, resonators, etc.) which may provide input illumination from an optical source to multiple grating emitters.
  • the sensor array 1010 may include optical detectors, such as one or more optical detectors which may detect illumination detected (e.g., collected) by one or more grating detectors.
  • the sensor array 1010 may include combiners (e.g., beam combiners, recombiners, etc.) which may provide detected illumination from one or more grating detectors to an optical detector.
  • the sensor array 1010 may include a power supply, memory, processors, etc.
  • the sensor array 1010 may be in communication with a power supply, memory, processor, etc.
  • the sensor array 1010 may be part of a measurement system.
  • the sensor array 1010 may be scanned across a wafer 1030 of other device, such as in a direction 1020A and a direction 1020B.
  • the sensor array 1010 may be scanned across the wafer 1030 in any appropriate direction.
  • the sensor array 1010 may be moved by a measurement system.
  • the sensor array 1010 may be stationary and the wafer 1030 may be moved such that the sensor array 1010 measures multiple alignment marks of the wafer 1030.
  • both the sensor array 1010 and the wafer 1030 may be moved, including by a measurement system.
  • the sensor array 1010 may measure alignment of multiple alignment marks of the wafer 1030 substantially simultaneously.
  • the sensor array 1010 may have photonic chips 1000 at a spacing that corresponds to a spacing of alignment marks on the wafer 1030.
  • the alignment marks on the wafer 1030 may be spaced at a first pitch while the photonic chips 1000 of the sensor array 1010 may be spaced at double the pitch, triple the pitch, ten times the pitch, etc. of the first pitch.
  • the sensor array 1010 may be approximately equal in size to the wafer 1030 or substantially smaller than the wafer 1030.
  • the sensor array 1010 may contain multiple photonic chips 1000, including 10 photonic chips 1000, 20 photonic chips 1000, 100 photonic chips 1000, 1000 photonic chips 1000, etc.
  • the photonic chips 1000 are depicted as separate on the sensor array 1010, but may instead or additionally be overlapping or share elements, such as optical sources, optical detectors, interferometers, etc.
  • Figure 11 illustrates an exemplary method 1100 for interference -based alignment sensing with a photonic chip.
  • Each of these operations is described in detail below.
  • the operations of method 1100 presented below are intended to be illustrative. In some embodiments, method 1100 may be accomplished with one or more additional operations not described, and/or without one or more of the operations discussed. Additionally, the order in which the operations of method 1100 are illustrated in Figure 11 and described below is not intended to be limiting. In some embodiments, one or more portions of method 1100 may be implemented (e.g., by simulation, modeling, etc.) in one or more processing devices (e.g., one or more processors).
  • processing devices e.g., one or more processors
  • the one or more processing devices may include one or more devices executing some or all of the operations of method 1100 in response to instructions stored electronically on an electronic storage medium.
  • the one or more processing devices may include one or more devices configured through hardware, firmware, and/or software to be specifically designed for execution of one or more of the operations of method 1100, for example.
  • illumination is emitted.
  • the illumination may be emitted by a grating emitter, including an apodized grating emitter.
  • the illumination may have a wavelength (or wavelength range as previously described, which may be a narrow wavelength range such as 0.1 % of the wavelength itself) and polarization.
  • the illumination may be emitted at an oblique angle with the grating emitter.
  • the illumination may be focused on an alignment mark, which may be held at a working distance from the grating emitter.
  • the grating emitter may be located on (e.g., in) a photonic chip.
  • the grating emitter may be designed to focus illumination on the alignment mark.
  • the illumination may be emitted when the grating emitter is sufficiently aligned (e.g., substantially spatially aligned) with the alignment mark.
  • the grating emitter may be aligned with the alignment mark before illumination is emitted, during emission of illumination (such as for continual illumination emission), iteratively (such as for multiple alignment marks), etc.
  • Alignment of the grating emitter with the alignment mark may include scanning (e.g., scanning movement) of the grating emitter (e.g., of the photonic chip containing the grating emitter) across a surface of a fabricated wafer containing alignment marks.
  • Alignment of the grating emitter with the alignment mark may include scanning (e.g., scanning movement) of the fabricated wafer containing the alignment mark with respect to the photonic chip containing the grating emitter.
  • Alignment may entail movement of the grating emitter and the alignment mark with respect to one another, including while collecting one or more time-variant signal, such as by the grating detectors.
  • the relative or absolute position of the alignment mark may be determined based on collected signals, such as an intensity maximum detected in collected illumination from one or more grating detector, which may include curve fitting.
  • diffracted illumination is collected.
  • the diffracted illumination may be collected by one or more grating detectors.
  • the diffracted illumination may be produced by the alignment mark in response to the emitted illumination.
  • the diffracted illumination may be a nth order diffraction.
  • the diffracted illumination may be a positive and negative nth order diffraction.
  • the diffracted illumination may have a wavelength and polarization.
  • the wavelength of the diffracted illumination may be the same as the wavelength as the emitted illumination.
  • the polarization of the diffracted illumination may be the same as or different from the polarization of the emitted illumination.
  • the diffracted illumination may be emitted at a diffraction angle from the alignment mark.
  • the diffracted illumination may be emitted at a combination of the diffraction angle and a reflection angle from the alignment mark, relative to the incident angle of the emitted illumination.
  • the diffracted illumination may be collected substantially simultaneously with the emission of the illumination.
  • the diffracted illumination may be transmitted from the grating detectors, such as via a waveguide to one or more interferometer, combiner, etc.
  • the collected diffracted illumination may experience interference.
  • the collected diffracted illumination may be transmitted, such as from the emitting detectors, to an interferometer or other interference element, such as a multi-mode interferometer (MMI), or an arrayed waveguide.
  • MMI multi-mode interferometer
  • the collected diffracted illumination may be interfered with collected diffraction of the opposite sign of its own diffraction order. For example, a positive nth order diffraction may be collected and interfered with a collected negative nth order diffraction.
  • the collected diffracted illumination may be interfered with collected diffraction of another diffraction order.
  • a positive nth order diffraction may be collected and interfered with a collected negative (n+l)th order diffraction.
  • the collected diffracted illumination may be interfered with reference illumination.
  • Reference illumination may be illumination which is not emitted or diffracted.
  • a positive nth order diffraction may be collected and interfered with a portion of the illumination supplied to the grating emitter which produces the positive nth order diffraction (e.g., the collected diffracted illumination may be interfered with illumination substantially similar to the emitted illumination, including within coherent with the emitted illumination).
  • Reference illumination may be of substantially the same wavelength, polarization, etc., as the collected diffracted illumination.
  • reference illumination may be generated by a beam splitter or any other appropriate method from one or more waveguides on the photonic chip.
  • the collected diffracted illumination may be interfered with illumination of the same wavelength.
  • the collected diffracted illumination may be interfered with collected diffraction of a difference wavelength.
  • the collected diffracted illumination may be interfered with illumination of substantially the same polarization.
  • the collected diffracted illumination may be interfered with illumination of a different polarization.
  • the collected diffracted illumination may be interfered with multiple illumination types, such as both a reference illumination and a collected diffraction.
  • the collected diffracted illumination may be interfered with multiple illumination sources, such as with both a collected positive nth order diffraction and a collected negative (n+l)th order diffraction.
  • the collected diffracted illumination may be multiplexed or demultiplexed before or after interference.
  • a phase shift may be determined from the interfered collected diffraction.
  • the phase shift may be determined from a phase extracted from the interfered collected diffraction.
  • the phase shift may be determined from an intensity of the interfered collected diffraction.
  • the phase shift may be determined from a time-variant (or position-variant) intensity, which may be collected as the alignment mark position is varied with respect to a grating detector, such as a grating detector contained in a photonic chip.
  • the alignment mark position may be varied by varying the position of a fabricated wafer which contains the alignment mark.
  • Positions of one or more grating detectors may be varied by varying a position of the photonic chip which contains the grating detectors, a grating emitter, etc. Intensity may be collected, such as a function of time, as a function of relative position, etc., while the relative positions of the grating detector and alignment mark vary, and phase shift or alignment mark location may be determined based on the time or position-variant intensity.
  • the time- variant intensity may be fit to an intensity curve, such as one given by Equation 4, below: where /(t) is the intensity as a function of time, P is the period of the alignment marker, n is the diffraction order to which the intensity corresponds, x(t) is the position of the fabricated wafer as a function of time, Ax is the offset between the alignment mark and the position of the fabricated wafer, and a and b are scaling and offset constants, respectively, which may be used in curve fitting, including simultaneously.
  • the phase shift may be determined based on a phase of interfered collected diffraction from multiple wavelengths.
  • the phase shift may be determined based on an intensity of the interfered collected diffraction from multiple wavelengths.
  • method 1100 (and/or the other methods and systems described herein) is configured to sense alignment based on interference with a photonic chip.
  • FIG 12 is a diagram of an example computer system CS that may be used for one or more of the operations described herein.
  • Computer system CS includes a bus BS or other communication mechanism for communicating information, and a processor PRO (or multiple processors) coupled with bus BS for processing information.
  • Computer system CS also includes a main memory MM, such as a random-access memory (RAM) or other dynamic storage device, coupled to bus BS for storing information and instructions to be executed by processor PRO.
  • Main memory MM also may be used for storing temporary variables or other intermediate information during execution of instructions by processor PRO.
  • Computer system CS further includes a read only memory (ROM) ROM or other static storage device coupled to bus BS for storing static information and instructions for processor PRO.
  • a storage device SD such as a magnetic disk or optical disk, is provided and coupled to bus BS for storing information and instructions.
  • Computer system CS may be coupled via bus BS to a display DS, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • a display DS such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • An input device ID is coupled to bus BS for communicating information and command selections to processor PRO.
  • cursor control CC such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor PRO and for controlling cursor movement on display DS.
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • portions of one or more methods described herein may be performed by computer system CS in response to processor PRO executing one or more sequences of one or more instructions contained in main memory MM.
  • Such instructions may be read into main memory MM from another computer-readable medium, such as storage device SD.
  • Execution of the sequences of instructions included in main memory MM causes processor PRO to perform the process steps (operations) described herein.
  • processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory MM.
  • hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • Non-volatile media include, for example, optical or magnetic disks, such as storage device SD.
  • Volatile media include dynamic memory, such as main memory MM.
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus BS. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media can be non-transitory, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge.
  • Non-transitory computer readable media can have instructions recorded thereon. The instructions, when executed by a computer, can implement any of the operations described herein.
  • Transitory computer-readable media can include a carrier wave or other propagating electromagnetic signal, for example.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor PRO for execution.
  • the instructions may initially be borne on a magnetic disk of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem.
  • a modem local to computer system CS can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal.
  • An infrared detector coupled to bus BS can receive the data carried in the infrared signal and place the data on bus BS.
  • Bus BS carries the data to main memory MM, from which processor PRO retrieves and executes the instructions.
  • the instructions received by main memory MM may optionally be stored on storage device SD either before or after execution by processor PRO.
  • Computer system CS may also include a communication interface CI coupled to bus BS.
  • Communication interface CI provides a two-way data communication coupling to a network link NDL that is connected to a local network LAN.
  • communication interface CI may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line.
  • ISDN integrated services digital network
  • communication interface CI may be a local area network (LAN) card to provide a data communication connection to a compatible LAN.
  • LAN local area network
  • Wireless links may also be implemented.
  • communication interface CI sends and receives electrical, electromagnetic, or optical signals that carry digital data streams representing various types of information.
  • Network link NDL typically provides data communication through one or more networks to other data devices.
  • network link NDL may provide a connection through local network LAN to a host computer HC.
  • This can include data communication services provided through the worldwide packet data communication network, now commonly referred to as the “Internet” INT.
  • Internet may use electrical, electromagnetic, or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on network data link NDL and through communication interface CI, which carry the digital data to and from computer system CS, are exemplary forms of carrier waves transporting the information.
  • Computer system CS can send messages and receive data, including program code, through the network(s), network data link NDL, and communication interface CL
  • host computer HC might transmit a requested code for an application program through Internet INT, network data link NDL, local network LAN, and communication interface CL
  • One such downloaded application may provide all or part of a method described herein, for example.
  • the received code may be executed by processor PRO as it is received, and/or stored in storage device SD, or other nonvolatile storage for later execution. In this manner, computer system CS may obtain application code in the form of a carrier wave.
  • a photonic integrated circuit (PIC) alignment sensor comprising: a plurality of apodized grating emitters, each apodized grating emitter configured to emit illumination of a particular wavelength range, the plurality of apodized grating emitters configured to illuminate a target; a plurality of apodized grating detectors configured to receive diffracted illumination from the target, each apodized grating detector configured to detect illumination of a particular wavelength range; at least one multi-mode interferometer used to interfere illumination from at least two of the plurality of apodized grating detectors; and a waveguide configured to propagate the interfered illumination from the at least one multimode interferometer to a detector.
  • PIC photonic integrated circuit
  • the plurality of apodized grating detectors comprise at least a pair of apodized grating detectors configured to receive diffracted illumination from the target at a first wavelength range and wherein a first multi-mode interferometer interferes signals from the pair of apodized grating detectors.
  • each emitting-grating coupler illuminates the target with a given angle of incidence at a given working distance.
  • each emitting-grating coupler illuminates the target with a given polarization.
  • the PIC sensor of clause 1 further comprising an alignment system, the alignment system configured to adjust a relative position of the PIC sensor with respect to the target.
  • a sensor array comprising a plurality of PIC sensors of any one of clauses 1 to 19.
  • a method for measuring alignment comprising: illuminating a target by emitting illumination from a plurality of apodized grating emitters, each apodized grating emitters emitting illumination of a particular wavelength range; capturing illumination diffracted by the target with a plurality of apodized grating detectors, each grating detector detecting illumination of a particular wavelength range; interfering with at least one multi-mode interferometer at least some of the illumination captured by the plurality of apodized grating detectors; and determining a characteristic of the target based on the interference.
  • illuminating the target comprises illuminating the target by emitting a plurality of wavelengths and wherein capturing illumination comprise capturing illumination of a plurality of wavelengths with a plurality of apodized grating detectors.
  • interfering at least some of the illumination comprises interfering with a first multi-mode interferometer captured illumination corresponding to a first diffraction order and reference illumination for a first wavelength.
  • interfering at least some of the illumination comprises interfering with a first multi-mode interferometer captured illumination corresponding to a first diffraction order and captured illumination corresponding to a negative of the first diffraction order at a first wavelength.
  • interfering at least some of the illumination further comprises transmitting the illumination captured by the plurality of apodized grating detectors along a plurality of waveguides.
  • determining a characteristic of the target comprises determining a resolution of the target.
  • determining a characteristic of the target based on the interference comprises: adjusting a relative position between the PIC alignment sensor and the target; measuring a time or position variant interference for the target while adjusting the relative position; and determining the characteristic of the target based on the measured time or position variant interference for the target.
  • combination and sub-combinations of disclosed elements may comprise separate embodiments.
  • one or more of the operations described above may be included in separate embodiments, or they may be included together in the same embodiment.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

L'invention concerne un capteur d'alignement de circuit intégré photonique (CPI) comprenant : une pluralité d'émetteurs de réseau apodisés, chaque émetteur de réseau apodisé étant conçu pour émettre un éclairage d'une plage de longueurs d'onde particulière, la pluralité d'émetteurs de réseau apodisés étant conçue pour éclairer une cible; et une pluralité de détecteurs de réseau apodisés conçue pour recevoir un éclairage diffracté à partir de la cible, chaque détecteur de réseau apodisé étant conçu pour détecter l'éclairage d'une plage de longueurs d'onde particulière; et au moins un interféromètre multimodes utilisé pour brouiller l'éclairage provenant d'au moins deux détecteurs de la pluralité de détecteurs de réseau apodisés; et un guide d'ondes conçu pour propager l'éclairage brouillé du ou des interféromètres multimodes à un détecteur.
PCT/EP2024/066292 2023-06-23 2024-06-12 Puce photonique intégrée pour détection d'alignement basée sur des interférences Pending WO2024260825A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202363522952P 2023-06-23 2023-06-23
US63/522,952 2023-06-23

Publications (1)

Publication Number Publication Date
WO2024260825A1 true WO2024260825A1 (fr) 2024-12-26

Family

ID=91530367

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2024/066292 Pending WO2024260825A1 (fr) 2023-06-23 2024-06-12 Puce photonique intégrée pour détection d'alignement basée sur des interférences

Country Status (1)

Country Link
WO (1) WO2024260825A1 (fr)

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952253B2 (en) 2002-11-12 2005-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1628164A2 (fr) 2004-08-16 2006-02-22 ASML Netherlands B.V. Procédé et dispositif pour caractérisation de la lithographie par spectrométrie à résolution angulaire
US20100328655A1 (en) 2007-12-17 2010-12-30 Asml, Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method
US20110026032A1 (en) 2008-04-09 2011-02-03 Asml Netherland B.V. Method of Assessing a Model of a Substrate, an Inspection Apparatus and a Lithographic Apparatus
WO2011012624A1 (fr) 2009-07-31 2011-02-03 Asml Netherlands B.V. Procédé et appareil de métrologie, système lithographique et cellule de traitement lithographique
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20110249244A1 (en) 2008-10-06 2011-10-13 Asml Netherlands B.V. Lithographic Focus and Dose Measurement Using A 2-D Target
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20160161863A1 (en) 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system
US20160370717A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
WO2021259645A1 (fr) * 2020-06-24 2021-12-30 Asml Holding N.V. Capteur d'alignement intégré à auto-référencement
WO2022258275A1 (fr) * 2021-06-07 2022-12-15 Asml Netherlands B.V. Capteurs d'alignement optique intégrés
WO2023016773A1 (fr) * 2021-08-12 2023-02-16 Asml Netherlands B.V. Mesures d'intensité utilisant un éclairage hors axe

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952253B2 (en) 2002-11-12 2005-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1628164A2 (fr) 2004-08-16 2006-02-22 ASML Netherlands B.V. Procédé et dispositif pour caractérisation de la lithographie par spectrométrie à résolution angulaire
US20100328655A1 (en) 2007-12-17 2010-12-30 Asml, Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method
US20110026032A1 (en) 2008-04-09 2011-02-03 Asml Netherland B.V. Method of Assessing a Model of a Substrate, an Inspection Apparatus and a Lithographic Apparatus
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20110249244A1 (en) 2008-10-06 2011-10-13 Asml Netherlands B.V. Lithographic Focus and Dose Measurement Using A 2-D Target
WO2011012624A1 (fr) 2009-07-31 2011-02-03 Asml Netherlands B.V. Procédé et appareil de métrologie, système lithographique et cellule de traitement lithographique
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20160161863A1 (en) 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system
US20160370717A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
WO2021259645A1 (fr) * 2020-06-24 2021-12-30 Asml Holding N.V. Capteur d'alignement intégré à auto-référencement
WO2022258275A1 (fr) * 2021-06-07 2022-12-15 Asml Netherlands B.V. Capteurs d'alignement optique intégrés
WO2023016773A1 (fr) * 2021-08-12 2023-02-16 Asml Netherlands B.V. Mesures d'intensité utilisant un éclairage hors axe

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
GYEONGHO SON ET AL: "High-efficiency broadband light coupling between optical fibers and photonic integrated circuits", NANOPHOTONICS, vol. 7, no. 12, 20 October 2018 (2018-10-20), pages 1845 - 1864, XP055594431, DOI: 10.1515/nanoph-2018-0075 *

Similar Documents

Publication Publication Date Title
TWI559099B (zh) 用於設計度量衡目標之方法及裝置
TWI636334B (zh) 使用圖案化裝置形貌誘導相位之方法及設備
KR102522444B1 (ko) 트레이닝된 뉴럴 네트워크 제공 및 물리적 시스템의 특성 결정
TW201633003A (zh) 使用圖案化裝置形貌誘導相位之方法及設備
KR20240036031A (ko) 계측 방법 및 계측 디바이스
US20250348008A1 (en) Single pad overlay measurement
US20250053097A1 (en) Machine learning model for asymmetry-induced overlay error correction
CN110945436A (zh) 用于参数确定的方法及其设备
KR102665204B1 (ko) 매칭 퓨필 결정
TWI807819B (zh) 確保橫越度量衡工具之參數量測匹配之系統與方法
US20210097665A1 (en) Model based reconstruction of semiconductor structures
US20230288815A1 (en) Mapping metrics between manufacturing systems
TWI769625B (zh) 用於判定量測配方之方法及相關裝置
KR102107504B1 (ko) 2-차원 또는 3-차원 형상의 계층적 표현
EP3611569A1 (fr) Appareil de métrologie et fibre de cristal photonique
WO2024260825A1 (fr) Puce photonique intégrée pour détection d'alignement basée sur des interférences
TW202318113A (zh) 聚焦度量衡之方法及其相關設備
US20250284206A1 (en) Measurement of fabrication parameters based on moiré interference pattern components
EP4276537A1 (fr) Sélecteur de mode d'éclairage et outil de métrologie optique correspondant
US20250251670A1 (en) Illumination mode selector and associated optical metrology tool
EP4451021A1 (fr) Fibre à cristal photonique
EP3796088A1 (fr) Procédé et appareil de détermination de performance de processus lithographique
CN120981748A (zh) 光子晶体光纤
EP3620857A1 (fr) Appareil de métrologie

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 24732692

Country of ref document: EP

Kind code of ref document: A1