US20060240661A1 - Method of preventing damage to porous low-K materials during resist stripping - Google Patents
Method of preventing damage to porous low-K materials during resist stripping Download PDFInfo
- Publication number
- US20060240661A1 US20060240661A1 US11/449,060 US44906006A US2006240661A1 US 20060240661 A1 US20060240661 A1 US 20060240661A1 US 44906006 A US44906006 A US 44906006A US 2006240661 A1 US2006240661 A1 US 2006240661A1
- Authority
- US
- United States
- Prior art keywords
- protective layer
- recited
- layer
- feature
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
Definitions
- the present invention relates to the fabrication of semiconductor-based devices. More particularly, the present invention relates to improved techniques for fabricating semiconductor-based devices with porous low-k dielectric layers.
- dual damascene structures may be used in conjunction with copper conductor material to reduce the RC delays associated with signal propagation in aluminum based materials used in previous generation technologies.
- dual damascene instead of etching the conductor material, vias, and trenches may be etched into the dielectric material and filled with copper. The excess copper may be removed by chemical mechanical polishing (CMP) leaving copper lines connected by vias for signal transmission.
- CMP chemical mechanical polishing
- porous low dielectric constant materials may be used. These porous low dielectric constant materials may include porous organo-silicate-glass (OSG) materials.
- OSG materials may be silicon dioxide doped with organic components such as methyl groups.
- OSG materials have carbon and hydrogen atoms incorporated into a silicon dioxide lattice, which lowers the dielectric constant of the material.
- OSG materials may be susceptible to damage when exposed to O 2 , H 2 , and NH 3 gases, which are used for stripping photo resist.
- Porous material has pores, which allow stripping plasmas to reach deeper into the layer causing greater damage.
- Porous OSG materials may be very susceptible to damage due to the removal of organic content by exposure to the plasma used to strip the resist and sidewalls.
- the plasma may diffuse into the pores of the porous OSG layer and cause damage as far as 300 nm into the OSG layer bordering the opening.
- Part of the damage caused by the plasma is the removal of carbon and hydrogen from the damage area causing the OSG to be more like silicon dioxide, which has a higher dielectric constant. Damage may be quantified by measuring the change in SiC/SiO ratio of the OSG layer from FTIR analysis. When translated to the trench side wall that means a damage of a few hundred angstroms on each side of a 2000 ⁇ trench wall.
- a method of forming a feature in a porous low-K dielectric layer is provided.
- a porous low-K dielectric layer is placed over a substrate.
- a patterned photoresist mask is placed over the porous low-K dielectric layer.
- a feature is etched into the porous low-K dielectric layer.
- a protective layer is deposited over the feature after the etching the feature. The patterned photoresist mask is stripped, so that part of the protective layer is removed, where small part of the protective walls formed from the protective layer remain in the feature.
- an apparatus for etching a feature in a porous low-K dielectric layer through a mask over a substrate comprising a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure, a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, at least one electrode for providing power to the plasma processing chamber enclosure for sustaining a plasma, a gas inlet for providing gas into the plasma processing chamber enclosure, and a gas outlet for exhausting gas from the plasma processing chamber enclosure.
- a gas source is in fluid connection with the gas inlet.
- a controller is controllably connected to at least one of the gas source the at least one electrode, the pressure regulator, the gas inlet, and the gas outlet.
- the controller comprises at least one processor and computer readable media.
- the computer readable media comprises computer readable code for providing an etch plasma for etching a feature into a porous low-K dielectric layer, computer readable code for providing a deposition plasma for forming a protective layer over the feature after the feature has been etched, and computer readable code for stripping a photoresist mask from over the porous low-K dielectric layer, where the stripping removes part of the protective layer and leaves small protective walls formed from the protective layer.
- a method of forming a feature in a porous low-K dielectric layer over a substrate and disposed below a patterned photoresist mask is provided.
- a feature is etched into the porous low-K dielectric layer through the photoresist mask.
- a protective layer is deposited over the feature after the etching the feature.
- the patterned photoresist mask is stripped, so that part of the protective layer is removed, where protective walls formed from the protective layer remain in the feature.
- FIG. 1 is a flow chart of a process used in an embodiment of the invention.
- FIGS. 2 A-F are schematic side views of an etched porous low-K dielectric layer according to the process of FIG. 1 .
- FIG. 3 is a schematic view of a process chamber that may be used in an embodiment of the invention.
- FIGS. 4 A-B are schematic views of a computer system that may be used as a controller.
- FIG. 1 is a flow chart of an etching process of porous low-k dielectric layer used in a preferred embodiment of the invention.
- the pores are nanometer sized pores. More preferably, the pores are from about 1 nm to about 10 nm.
- the phrase porous low-k dielectric is a dielectric with a dielectric constant of less than 2.3, since dielectric materials with a dielectric constant of less than 2.3 are usually porous.
- FIGS. 2 A-D are schematic side views of a porous low-k dielectric layer according to the process of FIG. 1 .
- a porous dielectric layer 204 may be deposited on a substrate 208 (step 104 ), as shown in FIG. 2A .
- the substrate 208 may be a silicon wafer or another type of material or may be part of a layer over a wafer.
- a cap layer 212 is formed over the porous dielectric layer 204 (step 108 ).
- the cap layer 212 may be silicon oxide.
- the cap layer is a protective layer of a dielectric material.
- the cap layer 212 protects the porous dielectric layer 204 during chemical mechanical polishing (CMP) and other processes.
- the cap layer 212 may be a low-k dielectric, since the cap layer is part of the end product.
- the cap layer is of a silicon oxide base material.
- the cap layer is preferably has a thickness of between about 200 ⁇ and about 1000 ⁇ .
- An antireflective coating (ARC) 214 is deposited over the cap layer 212 (step 112 ).
- the antireflective coating (ARC) 214 may be an organic bottom antireflective coating (BARC) or an inorganic dielectric antireflective coating (DARC).
- the ARC has a thickness between about 100 ⁇ and about 1000 ⁇ .
- a patterned resist mask 216 is provided over the ARC 214 (step 116 ).
- the patterned resist mask 216 has an aperture 220 .
- the patterned resist mask may be formed by placing a layer of photoresist, which is exposed to a light pattern and then etched. Other methods of forming a patterned resist mask may be used.
- the substrate 208 may have a contact 209 and a barrier layer 210 .
- the substrate 208 may be placed in an etching chamber where the porous dielectric layer 204 is etched (step 120 ).
- a plasma dry etch may be used to etch the porous dielectric layer 204 , which forms an opening 224 under the aperture 220 in the patterned resist mask 216 , as shown in FIG. 2B .
- Some of the patterned resist mask 216 is removed during the porous dielectric layer etch.
- Such porous dielectric etches may use a chemical etch, such as using a fluorine based etchant.
- an etch chemistry may use C 4 F 8 and N 2 at low pressure.
- the sidewalls of the feature may be etched.
- such etches may deposit polymer during the etch.
- Such etches may use some O 2 , but the amount of O 2 would generally be less than the amount of O 2 used in the stripping process. Since the amount of O 2 is small and the pressure is kept low, the etch chemistry does not damage the porous dielectric, as much as a stripping process would.
- a protective layer 228 is then deposited over the etched feature (step 124 ), as shown in FIG. 2C .
- the protective layer is formed by a polymer deposition.
- a polymer deposition chemistry may use a deposition chemistry of C 2 H 4 and N 2 or CH 4 and O 2 .
- a fluorine free chemistry is used for polymer formation.
- the thickness of the polymer deposition is between about 100 and 1500 ⁇ . More preferably, the thickness of the polymer deposition is between about 200 and 800 ⁇ . Most preferably, the thickness of the polymer deposition is between about 200 and 500 ⁇ .
- the patterned resist mask 216 is stripped using a stripping that also removes part of the protective layer, leaving protective walls 230 of the protective layer in the feature 224 to protect the porous dielectric (step 128 ), as shown in FIG. 2D .
- Typical photoresist strip chemistries use O 2 , NH 3 , or N 2 H 2 based stripping chemistries. These stripping chemistries are able to diffuse through the pores cause the damage to organic components of the porous low-k dielectric deep below the exposed surface, causing damage to a substantial portion of the low-k dielectric.
- the protective walls 230 provide protection of the porous dielectric layer 204 so that there are no exposed surfaces of the porous dielectric layer during the stripping process.
- the protective walls 230 are thin enough to avoid adhesion failure. If the protective walls are too thick, they may delaminate causing adhesion problems. On the other hand, if the original protective layer is too thin, then there would be insufficient protection of the porous dielectric layer. Generally, sidewalls that may form as a result of the etch step are too thin to provide sufficient protection of the porous dielectric layer, which is why a polymer deposition step after etching is completed is needed. Preferably, ion bombardment is used during the strip, to preferentially remove top surfaces of the protective layer without removing the protective walls.
- the barrier layer 210 may be opened after the photoresist 216 is stripped.
- the protective walls 230 may further protect the porous dielectric layer during the opening of the barrier layer 210 .
- a next layer is then formed over the protective walls 230 (step 132 ).
- a barrier layer 240 is formed over the protective walls 230 , as shown in FIG. 2E , and a copper contact layer 244 is formed in and over the feature. Chemical mechanical polishing is used to remove excess parts of the barrier layer 240 and copper contact layer 244 to form a copper 246 , as shown in FIG. 2F .
- FIG. 3 is a schematic view of a plasma processing chamber 300 that may be used for etching the feature, and then depositing the protective layer, and then stripping the photoresist in situ.
- the plasma processing chamber 300 comprises confinement rings 302 , an upper electrode 304 , a lower electrode 308 , a gas source 310 , and an exhaust pump 320 .
- the gas source 310 comprises an etchant gas source 312 , a protective layer gas source 316 , and a stripping gas source 318 .
- the gas source 310 may comprise additional gas sources.
- the substrate 208 is positioned upon the lower electrode 308 .
- the lower electrode 308 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate 208 .
- the reactor top 328 incorporates the upper electrode 304 disposed immediately opposite the lower electrode 308 .
- the upper electrode 304 , lower electrode 308 , and confinement rings 302 define the confined plasma volume. Gas is supplied to the confined plasma volume by the gas source 310 and is exhausted from the confined plasma volume through the confinement rings 302 and an exhaust port by the exhaust pump 320 .
- a first RF source 344 is electrically connected to the upper electrode 304 .
- a second RF source 348 is electrically connected to the lower electrode 308 .
- Chamber walls 352 surround the confinement rings 302 , the upper electrode 304 , and the lower electrode 308 .
- Both the first RF source 344 and the second RF source 348 may comprise a 27 MHz power source and a 2 MHz power source. Different combinations of connecting RF power to the electrode are possible.
- An Exelan 2300TM which is made by LAM Research CorporationTM of Fremont, Calif., may be used in a preferred embodiment of the invention.
- FIGS. 4A and 4B illustrate a computer system 1300 , which is suitable for implementing a controller 335 used in embodiments of the present invention.
- FIG. 4A shows one possible physical form of the computer system.
- the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.
- Computer system 1300 includes a monitor 1302 , a display 1304 , a housing 1306 , a disk drive 1308 , a keyboard 1310 , and a mouse 1312 .
- Disk 1314 is a computer-readable medium used to transfer data to and from computer system 1300 .
- FIG. 4B is an example of a block diagram for computer system 1300 .
- Attached to system bus 1320 is a wide variety of subsystems.
- Processor(s) 1322 also referred to as central processing units, or CPUs
- Memory 1324 includes random access memory (RAM) and read-only memory (ROM).
- RAM random access memory
- ROM read-only memory
- RAM random access memory
- ROM read-only memory
- RAM random access memory
- ROM read-only memory
- a fixed disk 1326 is also coupled bi-directionally to CPU 1322 ; it provides additional data storage capacity and may also include any of the computer-readable media described below.
- Fixed disk 1326 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 1326 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 1324 .
- Removable disk 1314 may take the form of any of the computer-readable media described below.
- CPU 1322 is also coupled to a variety of input/output devices, such as display 1304 , keyboard 1310 , mouse 1312 and speakers 1330 .
- an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers.
- CPU 1322 optionally may be coupled to another computer or telecommunications network using network interface 1340 . With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps.
- method embodiments of the present invention may execute solely upon CPU 1322 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
- embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations.
- the media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts.
- Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices.
- ASICs application-specific integrated circuits
- PLDs programmable logic devices
- Computer code examples include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
- Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
- etching may be used to remove the protective walls 230 without damaging the porous dielectric layer 204 .
- other embodiments may perform the etching, depositing a protective layer, and stripping in separate chambers (ex situ).
- the invention may also be used for etching a via or a trench after a via has been etched or etching a via after a trench has first been etched.
- a protective layer may be formed over the feature before the photoresist is stripped.
- the next layer deposited over the protective walls may be a photoresist mask.
- a porous dielectric layer of JSR LKD-5109 is spun onto a substrate.
- a silicon oxide cap layer is deposited onto the porous dielectric layer.
- the cap layer is about 500 ⁇ thick.
- An organic ARC layer is formed over the cap layer.
- a patterned photoresist mask is formed over the ARC.
- the photoresist is 193 nm PR from Shipley.
- the substrate is then placed in a Exelan 2300 dielectric etcher.
- a feature is etched through the ARC layer and the porous dielectric layer.
- An etch chemistry of 10 sccm of C 4 F 8 , and 12 sccm of O 2 is used to etch through the porous dielectric layer.
- the chamber pressure is set to about 120 mTorr. 1,000 W are provided by the 27 MHz RF source. 1,000 W are provided by the 2 MHz RF source.
- a protective layer is deposited over the feature. This is done in situ.
- a deposition gas chemistry of 50 sccm of C 2 H 4 and 5 sccm of O 2 is used to form a polymer deposition on the feature.
- the chamber pressure is set to about 120 mTorr.
- 500 W are provided by the 27 MHz RF source.
- 500 W are provided by the 2 MHz RF source.
- the deposition lasts for 15 seconds, to provide a deposition layer of about 300 ⁇ thick.
- the photoresist mask is stripped. This is done in situ. A stripping gas chemistry of 600 sccm of H 2 and 200 sccm of N 2 is used to strip the photoresist mask.
- the chamber pressure is set to about 300 mTorr. 400 W are provided by the 27 MHz RF source. 400 W are provided by the 2 MHz RF source.
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Abstract
A method of forming a feature in a porous low-K dielectric layer is provided. A porous low-K dielectric layer is placed over a substrate. A patterned photoresist mask is placed over the porous low-K dielectric layer. A feature is etched into the porous low-K dielectric layer. A protective layer is deposited over the feature after the etching the feature. The patterned photoresist mask is stripped, so that part of the protective layer is removed, where protective walls formed from the protective layer remain in the feature.
Description
- This application claims priority to the U.S. Utility patent application Ser. No. 10/738,280 (Attorney Docket No. LAM1P184/P1197), entitled “Method of Preventing Damage to Porous Low-K Materials during Resist Stripping”, filed on Dec. 16, 2003. The above-referenced application is hereby incorporated by reference in its entirety for all purposes.
- The present invention relates to the fabrication of semiconductor-based devices. More particularly, the present invention relates to improved techniques for fabricating semiconductor-based devices with porous low-k dielectric layers.
- In semiconductor-based device (e.g., integrated circuits or flat panel displays) manufacturing, dual damascene structures may be used in conjunction with copper conductor material to reduce the RC delays associated with signal propagation in aluminum based materials used in previous generation technologies. In dual damascene, instead of etching the conductor material, vias, and trenches may be etched into the dielectric material and filled with copper. The excess copper may be removed by chemical mechanical polishing (CMP) leaving copper lines connected by vias for signal transmission. To reduce the RC delays even further, porous low dielectric constant materials may be used. These porous low dielectric constant materials may include porous organo-silicate-glass (OSG) materials. OSG materials may be silicon dioxide doped with organic components such as methyl groups. OSG materials have carbon and hydrogen atoms incorporated into a silicon dioxide lattice, which lowers the dielectric constant of the material. However OSG materials may be susceptible to damage when exposed to O2, H2, and NH3 gases, which are used for stripping photo resist. Porous material has pores, which allow stripping plasmas to reach deeper into the layer causing greater damage.
- Porous OSG materials may be very susceptible to damage due to the removal of organic content by exposure to the plasma used to strip the resist and sidewalls. The plasma may diffuse into the pores of the porous OSG layer and cause damage as far as 300 nm into the OSG layer bordering the opening. Part of the damage caused by the plasma is the removal of carbon and hydrogen from the damage area causing the OSG to be more like silicon dioxide, which has a higher dielectric constant. Damage may be quantified by measuring the change in SiC/SiO ratio of the OSG layer from FTIR analysis. When translated to the trench side wall that means a damage of a few hundred angstroms on each side of a 2000 Å trench wall.
- It is desirable to reduce damage to porous low-k dielectric layers during the stripping process.
- To achieve the foregoing and other objects and in accordance with the purpose of the present invention a method of forming a feature in a porous low-K dielectric layer is provided. A porous low-K dielectric layer is placed over a substrate. A patterned photoresist mask is placed over the porous low-K dielectric layer. A feature is etched into the porous low-K dielectric layer. A protective layer is deposited over the feature after the etching the feature. The patterned photoresist mask is stripped, so that part of the protective layer is removed, where small part of the protective walls formed from the protective layer remain in the feature.
- In another manifestation of the invention, an apparatus for etching a feature in a porous low-K dielectric layer through a mask over a substrate is provided. A plasma processing chamber is provided, comprising a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure, a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, at least one electrode for providing power to the plasma processing chamber enclosure for sustaining a plasma, a gas inlet for providing gas into the plasma processing chamber enclosure, and a gas outlet for exhausting gas from the plasma processing chamber enclosure. A gas source is in fluid connection with the gas inlet. A controller is controllably connected to at least one of the gas source the at least one electrode, the pressure regulator, the gas inlet, and the gas outlet. The controller comprises at least one processor and computer readable media. The computer readable media comprises computer readable code for providing an etch plasma for etching a feature into a porous low-K dielectric layer, computer readable code for providing a deposition plasma for forming a protective layer over the feature after the feature has been etched, and computer readable code for stripping a photoresist mask from over the porous low-K dielectric layer, where the stripping removes part of the protective layer and leaves small protective walls formed from the protective layer.
- In another manifestation of the invention a method of forming a feature in a porous low-K dielectric layer over a substrate and disposed below a patterned photoresist mask is provided. A feature is etched into the porous low-K dielectric layer through the photoresist mask. A protective layer is deposited over the feature after the etching the feature. The patterned photoresist mask is stripped, so that part of the protective layer is removed, where protective walls formed from the protective layer remain in the feature.
- These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.
- The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
-
FIG. 1 is a flow chart of a process used in an embodiment of the invention. - FIGS. 2A-F are schematic side views of an etched porous low-K dielectric layer according to the process of
FIG. 1 . -
FIG. 3 is a schematic view of a process chamber that may be used in an embodiment of the invention. - FIGS. 4A-B are schematic views of a computer system that may be used as a controller.
- The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
- To facilitate discussion,
FIG. 1 is a flow chart of an etching process of porous low-k dielectric layer used in a preferred embodiment of the invention. Where the pores are nanometer sized pores. More preferably, the pores are from about 1 nm to about 10 nm. In the definition and claims, the phrase porous low-k dielectric is a dielectric with a dielectric constant of less than 2.3, since dielectric materials with a dielectric constant of less than 2.3 are usually porous. Some examples of porous low-k dielectric materials are JSR LKD-5109 Low-K dielectric, made by JSR Corporation of Tokyo, Japan and Zirkon™ LK made by Shipley Microelectronics, which is a subsidiary of Rohm and Haas of Philadelphia, Pa.-Some porous low-K dielectric materials are based on organosilicate glass (OSG). Other porous low-K dielectric material are porous SILK, a purely organic material, which is silicon free. FIGS. 2A-D are schematic side views of a porous low-k dielectric layer according to the process ofFIG. 1 . A porousdielectric layer 204 may be deposited on a substrate 208 (step 104), as shown inFIG. 2A . Thesubstrate 208 may be a silicon wafer or another type of material or may be part of a layer over a wafer. Acap layer 212 is formed over the porous dielectric layer 204 (step 108). Thecap layer 212 may be silicon oxide. Generally, the cap layer is a protective layer of a dielectric material. Thecap layer 212 protects theporous dielectric layer 204 during chemical mechanical polishing (CMP) and other processes. Thecap layer 212 may be a low-k dielectric, since the cap layer is part of the end product. Preferably, the cap layer is of a silicon oxide base material. The cap layer is preferably has a thickness of between about 200 Å and about 1000 Å. An antireflective coating (ARC) 214 is deposited over the cap layer 212 (step 112). The antireflective coating (ARC) 214 may be an organic bottom antireflective coating (BARC) or an inorganic dielectric antireflective coating (DARC). The ARC has a thickness between about 100 Å and about 1000 Å. A patterned resistmask 216 is provided over the ARC 214 (step 116). The patterned resistmask 216 has anaperture 220. The patterned resist mask may be formed by placing a layer of photoresist, which is exposed to a light pattern and then etched. Other methods of forming a patterned resist mask may be used. Thesubstrate 208 may have acontact 209 and abarrier layer 210. - The
substrate 208 may be placed in an etching chamber where theporous dielectric layer 204 is etched (step 120). A plasma dry etch may be used to etch theporous dielectric layer 204, which forms anopening 224 under theaperture 220 in the patterned resistmask 216, as shown inFIG. 2B . Some of the patterned resistmask 216 is removed during the porous dielectric layer etch. Such porous dielectric etches may use a chemical etch, such as using a fluorine based etchant. For example, an etch chemistry may use C4F8 and N2 at low pressure. As a result, the sidewalls of the feature may be etched. In addition, such etches may deposit polymer during the etch. Such etches may use some O2, but the amount of O2 would generally be less than the amount of O2 used in the stripping process. Since the amount of O2 is small and the pressure is kept low, the etch chemistry does not damage the porous dielectric, as much as a stripping process would. - A
protective layer 228 is then deposited over the etched feature (step 124), as shown inFIG. 2C . In a preferred embodiment, the protective layer is formed by a polymer deposition. Such a polymer deposition chemistry may use a deposition chemistry of C2H4 and N2 or CH4 and O2. To avoid damaging the exposed porous dielectric, it is preferred that a fluorine free chemistry is used for polymer formation. Preferably, the thickness of the polymer deposition is between about 100 and 1500 Å. More preferably, the thickness of the polymer deposition is between about 200 and 800 Å. Most preferably, the thickness of the polymer deposition is between about 200 and 500 Å. - The patterned resist
mask 216 is stripped using a stripping that also removes part of the protective layer, leavingprotective walls 230 of the protective layer in thefeature 224 to protect the porous dielectric (step 128), as shown inFIG. 2D . Typical photoresist strip chemistries use O2, NH3, or N2H2 based stripping chemistries. These stripping chemistries are able to diffuse through the pores cause the damage to organic components of the porous low-k dielectric deep below the exposed surface, causing damage to a substantial portion of the low-k dielectric. However, theprotective walls 230 provide protection of theporous dielectric layer 204 so that there are no exposed surfaces of the porous dielectric layer during the stripping process. Theprotective walls 230 are thin enough to avoid adhesion failure. If the protective walls are too thick, they may delaminate causing adhesion problems. On the other hand, if the original protective layer is too thin, then there would be insufficient protection of the porous dielectric layer. Generally, sidewalls that may form as a result of the etch step are too thin to provide sufficient protection of the porous dielectric layer, which is why a polymer deposition step after etching is completed is needed. Preferably, ion bombardment is used during the strip, to preferentially remove top surfaces of the protective layer without removing the protective walls. - In some embodiments of the invention, the
barrier layer 210 may be opened after thephotoresist 216 is stripped. Theprotective walls 230 may further protect the porous dielectric layer during the opening of thebarrier layer 210. A next layer is then formed over the protective walls 230 (step 132). In one example, abarrier layer 240 is formed over theprotective walls 230, as shown inFIG. 2E , and acopper contact layer 244 is formed in and over the feature. Chemical mechanical polishing is used to remove excess parts of thebarrier layer 240 andcopper contact layer 244 to form acopper 246, as shown inFIG. 2F . -
FIG. 3 is a schematic view of aplasma processing chamber 300 that may be used for etching the feature, and then depositing the protective layer, and then stripping the photoresist in situ. Theplasma processing chamber 300 comprises confinement rings 302, anupper electrode 304, alower electrode 308, a gas source 310, and anexhaust pump 320. The gas source 310 comprises anetchant gas source 312, a protectivelayer gas source 316, and a strippinggas source 318. The gas source 310 may comprise additional gas sources. Withinplasma processing chamber 300, thesubstrate 208 is positioned upon thelower electrode 308. Thelower electrode 308 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding thesubstrate 208. Thereactor top 328 incorporates theupper electrode 304 disposed immediately opposite thelower electrode 308. Theupper electrode 304,lower electrode 308, and confinement rings 302 define the confined plasma volume. Gas is supplied to the confined plasma volume by the gas source 310 and is exhausted from the confined plasma volume through the confinement rings 302 and an exhaust port by theexhaust pump 320. Afirst RF source 344 is electrically connected to theupper electrode 304. Asecond RF source 348 is electrically connected to thelower electrode 308.Chamber walls 352 surround the confinement rings 302, theupper electrode 304, and thelower electrode 308. Both thefirst RF source 344 and thesecond RF source 348 may comprise a 27 MHz power source and a 2 MHz power source. Different combinations of connecting RF power to the electrode are possible. An Exelan 2300™, which is made by LAM Research Corporation™ of Fremont, Calif., may be used in a preferred embodiment of the invention. -
FIGS. 4A and 4B illustrate acomputer system 1300, which is suitable for implementing acontroller 335 used in embodiments of the present invention.FIG. 4A shows one possible physical form of the computer system. Of course, the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.Computer system 1300 includes amonitor 1302, adisplay 1304, ahousing 1306, adisk drive 1308, akeyboard 1310, and amouse 1312.Disk 1314 is a computer-readable medium used to transfer data to and fromcomputer system 1300. -
FIG. 4B is an example of a block diagram forcomputer system 1300. Attached tosystem bus 1320 is a wide variety of subsystems. Processor(s) 1322 (also referred to as central processing units, or CPUs) are coupled to storage devices, includingmemory 1324.Memory 1324 includes random access memory (RAM) and read-only memory (ROM). As is well known in the art, ROM acts to transfer data and instructions uni-directionally to the CPU and RAM is used typically to transfer data and instructions in a bi-directional manner. Both of these types of memories may include any suitable of the computer-readable media described below. A fixeddisk 1326 is also coupled bi-directionally toCPU 1322; it provides additional data storage capacity and may also include any of the computer-readable media described below.Fixed disk 1326 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixeddisk 1326 may, in appropriate cases, be incorporated in standard fashion as virtual memory inmemory 1324.Removable disk 1314 may take the form of any of the computer-readable media described below. -
CPU 1322 is also coupled to a variety of input/output devices, such asdisplay 1304,keyboard 1310,mouse 1312 andspeakers 1330. In general, an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers.CPU 1322 optionally may be coupled to another computer or telecommunications network usingnetwork interface 1340. With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments of the present invention may execute solely uponCPU 1322 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing. - In addition, embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations. The media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts. Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
- Other examples may use other deposition devices. In other embodiments a special etch may be used to remove the
protective walls 230 without damaging theporous dielectric layer 204. In addition, other embodiments may perform the etching, depositing a protective layer, and stripping in separate chambers (ex situ). - Although the etching of a trench is illustrated above, the invention may also be used for etching a via or a trench after a via has been etched or etching a via after a trench has first been etched. After each feature is etched, a protective layer may be formed over the feature before the photoresist is stripped. In some cases, the next layer deposited over the protective walls may be a photoresist mask.
- In an example of the invention, a porous dielectric layer of JSR LKD-5109 is spun onto a substrate. A silicon oxide cap layer is deposited onto the porous dielectric layer. The cap layer is about 500 Å thick. An organic ARC layer is formed over the cap layer. A patterned photoresist mask is formed over the ARC. In this example, the photoresist is 193 nm PR from Shipley.
- The substrate is then placed in a Exelan 2300 dielectric etcher. A feature is etched through the ARC layer and the porous dielectric layer. An etch chemistry of 10 sccm of C4F8, and 12 sccm of O2 is used to etch through the porous dielectric layer. The chamber pressure is set to about 120 mTorr. 1,000 W are provided by the 27 MHz RF source. 1,000 W are provided by the 2 MHz RF source.
- After the feature is complete etched, a protective layer is deposited over the feature. This is done in situ. A deposition gas chemistry of 50 sccm of C2H4 and 5 sccm of O2 is used to form a polymer deposition on the feature. The chamber pressure is set to about 120 mTorr. 500 W are provided by the 27 MHz RF source. 500 W are provided by the 2 MHz RF source. The deposition lasts for 15 seconds, to provide a deposition layer of about 300 Å thick.
- After the protective layer is deposited, the photoresist mask is stripped. This is done in situ. A stripping gas chemistry of 600 sccm of H2 and 200 sccm of N2 is used to strip the photoresist mask. The chamber pressure is set to about 300 mTorr. 400 W are provided by the 27 MHz RF source. 400 W are provided by the 2 MHz RF source.
- While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, and substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, modifications and various substitute equivalents as fall within the true spirit and scope of the present invention.
Claims (22)
1-15. (canceled)
16. An apparatus for etching a feature in a porous low-K dielectric layer through a mask over a substrate, comprising:
a plasma processing chamber, comprising:
a chamber wall forming a plasma processing chamber enclosure;
a substrate support for supporting a substrate within the plasma processing chamber enclosure;
a pressure regulator for regulating the pressure in the plasma processing chamber enclosure;
at least one electrode for providing power to the plasma processing chamber enclosure for sustaining a plasma;
a gas inlet for providing gas into the plasma processing chamber enclosure; and
a gas outlet for exhausting gas from the plasma processing chamber enclosure;
a gas source in fluid connection with the gas inlet, comprising;
an etchant gas source;
a protective layer gas source; and
a stripping gas source;
a controller controllably connected to at least one of the gas source the at least one electrode, the pressure regulator, the gas inlet, and the gas outlet, comprising:
at least one processor; and
computer readable media, comprising:
computer readable code for providing an etch plasma for etching a feature into a porous low-K dielectric layer;
computer readable code for for forming a protective layer over the feature in the porous low-K dielectric layer after the feature has been etched by providing a protective layer gas from the protective layer gas source; and
computer readable code for stripping a photoresist mask from over the porous low-K dielectric layer, where the stripping removes part of the protective layer and leaves protective walls formed from the protective layer.
17. (canceled)
18. (canceled)
19. The apparatus, as recited in claim 16 , wherein the computer readable media further comprises computer readable code for depositing a deposition layer over the protective walls.
20. The apparatus, as recited in claim 16 , wherein the protective layer gas source contains a fluorine free protective layer gas.
21. The apparatus, as recited in claim 20 , wherein the protective layer gas source contains a polymer forming gas.
22. The apparatus, as recited in claim 21 , wherein the computer readable code for forming the protective layer comprises computer readable code for making the protective layer with a thickness between 100 Å and 1500 Å.
23. The apparatus, as recited in claim 22 , wherein the protective layer gas source further comprises C2H4 and O2.
24. The apparatus, as recited in claim 16 , wherein the computer readable code for stripping the photoresist mask, comprises computer readable code for providing ion bombardment.
25. The apparatus, as recited in claim 24 , wherein the ion bombardment removes top layers of the protective layer, but leaves protective sidewalls formed by the protective layer.
26. The apparatus, as recited in claim 16 , wherein the protective layer gas source contains a polymer forming gas.
27. The apparatus, as recited in claim 26 , wherein the computer readable code for forming the protective layer comprises computer readable code for making the protective layer with a thickness between 100 Å and 1500 Å.
28. The apparatus, as recited in claim 27 , wherein the protective layer gas source comprises C2H4 and O2.
29. The apparatus, as recited in claim 16 , wherein the protective layer gas source comprises a C2H4 source and an O2 source.
30. A semiconductor device formed by the method of forming a feature in a porous low-K dielectric layer, comprising:
placing a porous low-K dielectric layer over a substrate;
placing a patterned photoresist mask over the porous low-K dielectric layer;
etching a feature into the porous low-K dielectric layer;
depositing a protective layer over the feature after the etching the feature; and
stripping the patterned photoresist mask, so that part of the protective layer is removed, where protective walls formed from the protective layer remain in the feature.
31. The semiconductor device, as recited in claim 30 , further comprising placing a cap layer over the porous low-K dielectric layer before placing the photoresist mask, wherein the photoresist mask is placed over the cap layer.
32. The semiconductor device, as recited in claim 31 , further comprising depositing a deposition layer over the protective walls.
33. The semiconductor device, as recited in claim 32 , further comprising forming an antireflective coating over the cap layer before placing the photoresist mask, wherein the photoresist mask is placed over the antireflective coating.
34. The semiconductor device, as recited in claim 30 , wherein the depositing the protective layer comprises depositing a fluorine free layer.
35. The semiconductor device, as recited in claim 30 , wherein the depositing the protective layer further comprises depositing a polymer layer.
36. The semiconductor device, as recited in claim 35 , wherein the protective layer is between 100 Å to 1500 Å thick.
Priority Applications (1)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US11/449,060 US20060240661A1 (en) | 2003-12-16 | 2006-06-07 | Method of preventing damage to porous low-K materials during resist stripping |
Applications Claiming Priority (2)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US10/738,280 US7081407B2 (en) | 2003-12-16 | 2003-12-16 | Method of preventing damage to porous low-k materials during resist stripping |
| US11/449,060 US20060240661A1 (en) | 2003-12-16 | 2006-06-07 | Method of preventing damage to porous low-K materials during resist stripping |
Related Parent Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US10/738,280 Division US7081407B2 (en) | 2003-12-16 | 2003-12-16 | Method of preventing damage to porous low-k materials during resist stripping |
Publications (1)
| Publication Number | Publication Date |
|---|---|
| US20060240661A1 true US20060240661A1 (en) | 2006-10-26 |
Family
ID=34654211
Family Applications (2)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US10/738,280 Expired - Lifetime US7081407B2 (en) | 2003-12-16 | 2003-12-16 | Method of preventing damage to porous low-k materials during resist stripping |
| US11/449,060 Abandoned US20060240661A1 (en) | 2003-12-16 | 2006-06-07 | Method of preventing damage to porous low-K materials during resist stripping |
Family Applications Before (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| US10/738,280 Expired - Lifetime US7081407B2 (en) | 2003-12-16 | 2003-12-16 | Method of preventing damage to porous low-k materials during resist stripping |
Country Status (8)
| Country | Link |
|---|---|
| US (2) | US7081407B2 (en) |
| EP (1) | EP1697984A4 (en) |
| JP (1) | JP4668205B2 (en) |
| KR (1) | KR101094681B1 (en) |
| CN (1) | CN100524668C (en) |
| IL (1) | IL176101A0 (en) |
| TW (1) | TWI353019B (en) |
| WO (1) | WO2005060548A2 (en) |
Cited By (153)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US20090324849A1 (en) * | 2007-12-28 | 2009-12-31 | Varian Semiconductor Equipement Associates, Inc. | Method for sealing pores in a porous substrate |
| US20120009796A1 (en) * | 2010-07-09 | 2012-01-12 | Applied Materials, Inc. | Post-ash sidewall healing |
| US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
| US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
| US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
| US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
| US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
| US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
| US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
| US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
| US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
| US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
| US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
| US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
| US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
| US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
| US8975152B2 (en) | 2011-11-08 | 2015-03-10 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
| US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
| US9023732B2 (en) | 2013-03-15 | 2015-05-05 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
| US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
| US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
| US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
| US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
| US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
| US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
| US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
| US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
| US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
| US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
| US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
| US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
| US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
| US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
| US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
| US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
| US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
| US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
| US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
| US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
| US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
| US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
| US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
| US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
| US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
| US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
| US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
| US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
| US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
| US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
| US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
| US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
| US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
| US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
| US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
| US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
| US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
| US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
| US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
| US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
| US9472417B2 (en) | 2013-11-12 | 2016-10-18 | Applied Materials, Inc. | Plasma-free metal etch |
| US9478432B2 (en) | 2014-09-25 | 2016-10-25 | Applied Materials, Inc. | Silicon oxide selective removal |
| US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
| US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
| US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
| US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
| US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
| US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
| US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
| US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
| US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
| US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
| US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
| US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
| US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
| US9842744B2 (en) | 2011-03-14 | 2017-12-12 | Applied Materials, Inc. | Methods for etch of SiN films |
| US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
| US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
| US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
| US9885117B2 (en) | 2014-03-31 | 2018-02-06 | Applied Materials, Inc. | Conditioned semiconductor system parts |
| US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
| US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
| US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
| US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
| US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
| US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
| US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
| US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
| US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
| US10062587B2 (en) | 2012-07-18 | 2018-08-28 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
| US10062578B2 (en) | 2011-03-14 | 2018-08-28 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
| US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
| US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
| US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
| US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
| US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
| US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
| US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
| US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
| US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
| US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
| US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
| US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
| US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
| US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
| US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
| US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
| US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
| US10468267B2 (en) | 2017-05-31 | 2019-11-05 | Applied Materials, Inc. | Water-free etching methods |
| US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
| US10490418B2 (en) | 2014-10-14 | 2019-11-26 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
| US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
| US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
| US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
| US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
| US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
| US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
| US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
| US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
| US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
| US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
| US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
| US10593523B2 (en) | 2014-10-14 | 2020-03-17 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
| US10615047B2 (en) | 2018-02-28 | 2020-04-07 | Applied Materials, Inc. | Systems and methods to form airgaps |
| US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
| US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
| US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
| US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
| US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
| US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
| US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
| US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
| US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
| US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
| US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
| US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
| US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
| US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
| US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
| US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
| US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
| US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
| US11239061B2 (en) | 2014-11-26 | 2022-02-01 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
| US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
| US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
| US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
| US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
| US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
| US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
| US11594428B2 (en) | 2015-02-03 | 2023-02-28 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
| US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
| US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
| US12340979B2 (en) | 2017-05-17 | 2025-06-24 | Applied Materials, Inc. | Semiconductor processing chamber for improved precursor flow |
Families Citing this family (11)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US7226852B1 (en) * | 2004-06-10 | 2007-06-05 | Lam Research Corporation | Preventing damage to low-k materials during resist stripping |
| JP4812512B2 (en) * | 2006-05-19 | 2011-11-09 | オンセミコンダクター・トレーディング・リミテッド | Manufacturing method of semiconductor device |
| US7749892B2 (en) * | 2006-11-29 | 2010-07-06 | International Business Machines Corporation | Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices |
| US7838426B2 (en) * | 2007-08-20 | 2010-11-23 | Lam Research Corporation | Mask trimming |
| US20090078675A1 (en) * | 2007-09-26 | 2009-03-26 | Silverbrook Research Pty Ltd | Method of removing photoresist |
| WO2009039551A1 (en) * | 2007-09-26 | 2009-04-02 | Silverbrook Research Pty Ltd | Method of removing photoresist |
| US8741394B2 (en) * | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
| CN102427055A (en) * | 2011-07-12 | 2012-04-25 | 上海华力微电子有限公司 | Method for treating porous low-K-value medium by adopting plasma |
| US9059263B2 (en) | 2011-11-09 | 2015-06-16 | QUALCOMM Incorpated | Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer |
| KR102808552B1 (en) | 2019-10-01 | 2025-05-16 | 삼성전자주식회사 | Method of manufacturing integrated circuit device |
| US11837618B1 (en) | 2020-08-21 | 2023-12-05 | Samsung Electronics Co., Ltd. | Image sensor including a protective layer |
Citations (18)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US6071822A (en) * | 1998-06-08 | 2000-06-06 | Plasma-Therm, Inc. | Etching process for producing substantially undercut free silicon on insulator structures |
| US6100200A (en) * | 1998-12-21 | 2000-08-08 | Advanced Technology Materials, Inc. | Sputtering process for the conformal deposition of a metallization or insulating layer |
| US6144259A (en) * | 1998-03-18 | 2000-11-07 | Nec Corporation | Low-noise amplifier and circuit for controlling the same |
| US6150272A (en) * | 1998-11-16 | 2000-11-21 | Taiwan Semiconductor Manufacturing Company | Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage |
| US6235453B1 (en) * | 1999-07-07 | 2001-05-22 | Advanced Micro Devices, Inc. | Low-k photoresist removal process |
| US20020076935A1 (en) * | 1997-10-22 | 2002-06-20 | Karen Maex | Anisotropic etching of organic-containing insulating layers |
| US6413877B1 (en) * | 2000-12-22 | 2002-07-02 | Lam Research Corporation | Method of preventing damage to organo-silicate-glass materials during resist stripping |
| US6632903B2 (en) * | 1997-12-31 | 2003-10-14 | Hyundai Electronics Industries Co., Ltd. | Polymer-containing photoresist, and process for manufacturing the same |
| US20030207594A1 (en) * | 2001-06-19 | 2003-11-06 | Catabay Wilbur G. | Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for intergrated circuit structure |
| US6673721B1 (en) * | 2001-07-02 | 2004-01-06 | Lsi Logic Corporation | Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask |
| US6686271B2 (en) * | 2000-05-15 | 2004-02-03 | Asm International N.V. | Protective layers prior to alternating layer deposition |
| US20040063330A1 (en) * | 2001-01-12 | 2004-04-01 | Crawford Edward J. | FIB/RIE method for in-line circuit modification of microelectronic chips containing organic dielectric |
| US20040072430A1 (en) * | 2002-10-11 | 2004-04-15 | Zhisong Huang | Method for forming a dual damascene structure |
| US6734096B2 (en) * | 2002-01-17 | 2004-05-11 | International Business Machines Corporation | Fine-pitch device lithography using a sacrificial hardmask |
| US20040149686A1 (en) * | 2003-02-04 | 2004-08-05 | Zhihong Zhang | Method to deposit an impermeable film on porous low-k dielectric film |
| US20040180269A1 (en) * | 2002-12-23 | 2004-09-16 | Tokyo Electron Limited | Method and apparatus for multilayer photoresist dry development |
| US6800558B1 (en) * | 2002-01-10 | 2004-10-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist scum for copper dual damascene process |
| US6805139B1 (en) * | 1999-10-20 | 2004-10-19 | Mattson Technology, Inc. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
Family Cites Families (12)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US4846129A (en) * | 1988-02-09 | 1989-07-11 | Chrysler Motors Corporation | Ignition system improvements for internal combustion engines |
| US5114259A (en) * | 1990-06-01 | 1992-05-19 | Meservy Jay A | Adjustable writing platform for a notebook |
| US5419300A (en) * | 1992-11-10 | 1995-05-30 | Honda Giken Kogyo Kabushiki Kaisha | Ignition coil unit with ignition voltage detective capacitor for internal combustion engine |
| US6174810B1 (en) | 1998-04-06 | 2001-01-16 | Motorola, Inc. | Copper interconnect structure and method of formation |
| US6211063B1 (en) * | 1999-05-25 | 2001-04-03 | Taiwan Semiconductor Manufacturing Company | Method to fabricate self-aligned dual damascene structures |
| US6465159B1 (en) * | 1999-06-28 | 2002-10-15 | Lam Research Corporation | Method and apparatus for side wall passivation for organic etch |
| US6114259A (en) * | 1999-07-27 | 2000-09-05 | Lsi Logic Corporation | Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage |
| US6180518B1 (en) | 1999-10-29 | 2001-01-30 | Lucent Technologies Inc. | Method for forming vias in a low dielectric constant material |
| US6346490B1 (en) * | 2000-04-05 | 2002-02-12 | Lsi Logic Corporation | Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps |
| US6410437B1 (en) * | 2000-06-30 | 2002-06-25 | Lam Research Corporation | Method for etching dual damascene structures in organosilicate glass |
| US6798043B2 (en) * | 2001-06-28 | 2004-09-28 | Agere Systems, Inc. | Structure and method for isolating porous low-k dielectric films |
| JP3648480B2 (en) * | 2001-12-26 | 2005-05-18 | 株式会社東芝 | Semiconductor device and manufacturing method thereof |
-
2003
- 2003-12-16 US US10/738,280 patent/US7081407B2/en not_active Expired - Lifetime
-
2004
- 2004-12-01 JP JP2006545700A patent/JP4668205B2/en not_active Expired - Fee Related
- 2004-12-01 WO PCT/US2004/040267 patent/WO2005060548A2/en not_active Ceased
- 2004-12-01 KR KR1020067012218A patent/KR101094681B1/en not_active Expired - Fee Related
- 2004-12-01 CN CNB2004800375736A patent/CN100524668C/en not_active Expired - Fee Related
- 2004-12-01 EP EP04812717A patent/EP1697984A4/en not_active Withdrawn
- 2004-12-07 TW TW093137791A patent/TWI353019B/en not_active IP Right Cessation
-
2006
- 2006-06-04 IL IL176101A patent/IL176101A0/en not_active IP Right Cessation
- 2006-06-07 US US11/449,060 patent/US20060240661A1/en not_active Abandoned
Patent Citations (18)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US20020076935A1 (en) * | 1997-10-22 | 2002-06-20 | Karen Maex | Anisotropic etching of organic-containing insulating layers |
| US6632903B2 (en) * | 1997-12-31 | 2003-10-14 | Hyundai Electronics Industries Co., Ltd. | Polymer-containing photoresist, and process for manufacturing the same |
| US6144259A (en) * | 1998-03-18 | 2000-11-07 | Nec Corporation | Low-noise amplifier and circuit for controlling the same |
| US6071822A (en) * | 1998-06-08 | 2000-06-06 | Plasma-Therm, Inc. | Etching process for producing substantially undercut free silicon on insulator structures |
| US6150272A (en) * | 1998-11-16 | 2000-11-21 | Taiwan Semiconductor Manufacturing Company | Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage |
| US6100200A (en) * | 1998-12-21 | 2000-08-08 | Advanced Technology Materials, Inc. | Sputtering process for the conformal deposition of a metallization or insulating layer |
| US6235453B1 (en) * | 1999-07-07 | 2001-05-22 | Advanced Micro Devices, Inc. | Low-k photoresist removal process |
| US6805139B1 (en) * | 1999-10-20 | 2004-10-19 | Mattson Technology, Inc. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
| US6686271B2 (en) * | 2000-05-15 | 2004-02-03 | Asm International N.V. | Protective layers prior to alternating layer deposition |
| US6413877B1 (en) * | 2000-12-22 | 2002-07-02 | Lam Research Corporation | Method of preventing damage to organo-silicate-glass materials during resist stripping |
| US20040063330A1 (en) * | 2001-01-12 | 2004-04-01 | Crawford Edward J. | FIB/RIE method for in-line circuit modification of microelectronic chips containing organic dielectric |
| US20030207594A1 (en) * | 2001-06-19 | 2003-11-06 | Catabay Wilbur G. | Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for intergrated circuit structure |
| US6673721B1 (en) * | 2001-07-02 | 2004-01-06 | Lsi Logic Corporation | Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask |
| US6800558B1 (en) * | 2002-01-10 | 2004-10-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist scum for copper dual damascene process |
| US6734096B2 (en) * | 2002-01-17 | 2004-05-11 | International Business Machines Corporation | Fine-pitch device lithography using a sacrificial hardmask |
| US20040072430A1 (en) * | 2002-10-11 | 2004-04-15 | Zhisong Huang | Method for forming a dual damascene structure |
| US20040180269A1 (en) * | 2002-12-23 | 2004-09-16 | Tokyo Electron Limited | Method and apparatus for multilayer photoresist dry development |
| US20040149686A1 (en) * | 2003-02-04 | 2004-08-05 | Zhihong Zhang | Method to deposit an impermeable film on porous low-k dielectric film |
Cited By (223)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US20090324849A1 (en) * | 2007-12-28 | 2009-12-31 | Varian Semiconductor Equipement Associates, Inc. | Method for sealing pores in a porous substrate |
| US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
| US9754800B2 (en) | 2010-05-27 | 2017-09-05 | Applied Materials, Inc. | Selective etch for silicon films |
| US20120009796A1 (en) * | 2010-07-09 | 2012-01-12 | Applied Materials, Inc. | Post-ash sidewall healing |
| US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
| US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
| US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
| US10062578B2 (en) | 2011-03-14 | 2018-08-28 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
| US9842744B2 (en) | 2011-03-14 | 2017-12-12 | Applied Materials, Inc. | Methods for etch of SiN films |
| US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
| US9236266B2 (en) | 2011-08-01 | 2016-01-12 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
| US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
| US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
| US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
| US9012302B2 (en) | 2011-09-26 | 2015-04-21 | Applied Materials, Inc. | Intrench profile |
| US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
| US9418858B2 (en) | 2011-10-07 | 2016-08-16 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
| US8975152B2 (en) | 2011-11-08 | 2015-03-10 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
| US10062587B2 (en) | 2012-07-18 | 2018-08-28 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
| US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
| US10032606B2 (en) | 2012-08-02 | 2018-07-24 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
| US9887096B2 (en) | 2012-09-17 | 2018-02-06 | Applied Materials, Inc. | Differential silicon oxide etch |
| US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
| US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
| US9437451B2 (en) | 2012-09-18 | 2016-09-06 | Applied Materials, Inc. | Radical-component oxide etch |
| US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
| US11264213B2 (en) | 2012-09-21 | 2022-03-01 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
| US9978564B2 (en) | 2012-09-21 | 2018-05-22 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
| US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
| US10354843B2 (en) | 2012-09-21 | 2019-07-16 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
| US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
| US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
| US9384997B2 (en) | 2012-11-20 | 2016-07-05 | Applied Materials, Inc. | Dry-etch selectivity |
| US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
| US9412608B2 (en) | 2012-11-30 | 2016-08-09 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
| US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
| US9355863B2 (en) | 2012-12-18 | 2016-05-31 | Applied Materials, Inc. | Non-local plasma oxide etch |
| US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
| US9449845B2 (en) | 2012-12-21 | 2016-09-20 | Applied Materials, Inc. | Selective titanium nitride etching |
| US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
| US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
| US11024486B2 (en) | 2013-02-08 | 2021-06-01 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
| US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
| US10424485B2 (en) | 2013-03-01 | 2019-09-24 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
| US9607856B2 (en) | 2013-03-05 | 2017-03-28 | Applied Materials, Inc. | Selective titanium nitride removal |
| US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
| US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
| US9093390B2 (en) | 2013-03-07 | 2015-07-28 | Applied Materials, Inc. | Conformal oxide dry etch |
| US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
| US9449850B2 (en) | 2013-03-15 | 2016-09-20 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US9093371B2 (en) | 2013-03-15 | 2015-07-28 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US9704723B2 (en) | 2013-03-15 | 2017-07-11 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US9991134B2 (en) | 2013-03-15 | 2018-06-05 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US9184055B2 (en) | 2013-03-15 | 2015-11-10 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US9153442B2 (en) | 2013-03-15 | 2015-10-06 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US9659792B2 (en) | 2013-03-15 | 2017-05-23 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US9023732B2 (en) | 2013-03-15 | 2015-05-05 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
| US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
| US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
| US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
| US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
| US9209012B2 (en) | 2013-09-16 | 2015-12-08 | Applied Materials, Inc. | Selective etch of silicon nitride |
| US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
| US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
| US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
| US9711366B2 (en) | 2013-11-12 | 2017-07-18 | Applied Materials, Inc. | Selective etch for metal-containing materials |
| US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
| US9472417B2 (en) | 2013-11-12 | 2016-10-18 | Applied Materials, Inc. | Plasma-free metal etch |
| US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
| US9472412B2 (en) | 2013-12-02 | 2016-10-18 | Applied Materials, Inc. | Procedure for etch rate consistency |
| US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
| US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
| US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
| US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
| US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
| US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
| US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
| US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
| US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
| US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
| US9837249B2 (en) | 2014-03-20 | 2017-12-05 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
| US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
| US9564296B2 (en) | 2014-03-20 | 2017-02-07 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
| US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
| US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
| US9885117B2 (en) | 2014-03-31 | 2018-02-06 | Applied Materials, Inc. | Conditioned semiconductor system parts |
| US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
| US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
| US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
| US10465294B2 (en) | 2014-05-28 | 2019-11-05 | Applied Materials, Inc. | Oxide and metal removal |
| US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
| US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
| US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
| US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
| US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
| US9773695B2 (en) | 2014-07-31 | 2017-09-26 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
| US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
| US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
| US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
| US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
| US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
| US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
| US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
| US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
| US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
| US9837284B2 (en) | 2014-09-25 | 2017-12-05 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
| US9478432B2 (en) | 2014-09-25 | 2016-10-25 | Applied Materials, Inc. | Silicon oxide selective removal |
| US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
| US10490418B2 (en) | 2014-10-14 | 2019-11-26 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
| US10796922B2 (en) | 2014-10-14 | 2020-10-06 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
| US10593523B2 (en) | 2014-10-14 | 2020-03-17 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
| US10707061B2 (en) | 2014-10-14 | 2020-07-07 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
| US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
| US11239061B2 (en) | 2014-11-26 | 2022-02-01 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
| US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
| US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
| US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
| US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
| US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
| US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
| US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
| US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
| US11594428B2 (en) | 2015-02-03 | 2023-02-28 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
| US10468285B2 (en) | 2015-02-03 | 2019-11-05 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
| US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
| US12009228B2 (en) | 2015-02-03 | 2024-06-11 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
| US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
| US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
| US10607867B2 (en) | 2015-08-06 | 2020-03-31 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
| US11158527B2 (en) | 2015-08-06 | 2021-10-26 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
| US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
| US10468276B2 (en) | 2015-08-06 | 2019-11-05 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
| US10147620B2 (en) | 2015-08-06 | 2018-12-04 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
| US10424464B2 (en) | 2015-08-07 | 2019-09-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
| US10424463B2 (en) | 2015-08-07 | 2019-09-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
| US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
| US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
| US11476093B2 (en) | 2015-08-27 | 2022-10-18 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
| US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
| US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
| US11735441B2 (en) | 2016-05-19 | 2023-08-22 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
| US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
| US12057329B2 (en) | 2016-06-29 | 2024-08-06 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
| US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
| US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
| US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
| US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
| US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
| US11049698B2 (en) | 2016-10-04 | 2021-06-29 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
| US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
| US10224180B2 (en) | 2016-10-04 | 2019-03-05 | Applied Materials, Inc. | Chamber with flow-through source |
| US10541113B2 (en) | 2016-10-04 | 2020-01-21 | Applied Materials, Inc. | Chamber with flow-through source |
| US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
| US10319603B2 (en) | 2016-10-07 | 2019-06-11 | Applied Materials, Inc. | Selective SiN lateral recess |
| US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
| US10770346B2 (en) | 2016-11-11 | 2020-09-08 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
| US10186428B2 (en) | 2016-11-11 | 2019-01-22 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
| US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
| US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
| US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
| US10600639B2 (en) | 2016-11-14 | 2020-03-24 | Applied Materials, Inc. | SiN spacer profile patterning |
| US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
| US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
| US10903052B2 (en) | 2017-02-03 | 2021-01-26 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
| US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
| US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
| US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
| US10529737B2 (en) | 2017-02-08 | 2020-01-07 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
| US10325923B2 (en) | 2017-02-08 | 2019-06-18 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
| US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
| US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
| US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
| US12340979B2 (en) | 2017-05-17 | 2025-06-24 | Applied Materials, Inc. | Semiconductor processing chamber for improved precursor flow |
| US11361939B2 (en) | 2017-05-17 | 2022-06-14 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
| US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
| US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
| US11915950B2 (en) | 2017-05-17 | 2024-02-27 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
| US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
| US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
| US10468267B2 (en) | 2017-05-31 | 2019-11-05 | Applied Materials, Inc. | Water-free etching methods |
| US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
| US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
| US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
| US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
| US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
| US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
| US10593553B2 (en) | 2017-08-04 | 2020-03-17 | Applied Materials, Inc. | Germanium etching systems and methods |
| US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
| US11101136B2 (en) | 2017-08-07 | 2021-08-24 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
| US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
| US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
| US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
| US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
| US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
| US12148597B2 (en) | 2017-12-19 | 2024-11-19 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
| US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
| US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
| US10861676B2 (en) | 2018-01-08 | 2020-12-08 | Applied Materials, Inc. | Metal recess for semiconductor structures |
| US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
| US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
| US10699921B2 (en) | 2018-02-15 | 2020-06-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
| US10615047B2 (en) | 2018-02-28 | 2020-04-07 | Applied Materials, Inc. | Systems and methods to form airgaps |
| US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
| US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
| US11004689B2 (en) | 2018-03-12 | 2021-05-11 | Applied Materials, Inc. | Thermal silicon etch |
| US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
| US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
| US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
| US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
| US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
| US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
| US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
| US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
| US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
| US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
| US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
| US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
| US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
| US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
| US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
| US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
| US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Also Published As
| Publication number | Publication date |
|---|---|
| EP1697984A4 (en) | 2009-12-23 |
| KR20060114347A (en) | 2006-11-06 |
| CN100524668C (en) | 2009-08-05 |
| CN1894784A (en) | 2007-01-10 |
| US7081407B2 (en) | 2006-07-25 |
| JP2007514327A (en) | 2007-05-31 |
| EP1697984A2 (en) | 2006-09-06 |
| US20050130435A1 (en) | 2005-06-16 |
| IL176101A0 (en) | 2006-10-05 |
| KR101094681B1 (en) | 2011-12-20 |
| TWI353019B (en) | 2011-11-21 |
| TW200527532A (en) | 2005-08-16 |
| JP4668205B2 (en) | 2011-04-13 |
| WO2005060548A3 (en) | 2006-02-23 |
| WO2005060548A2 (en) | 2005-07-07 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| US7081407B2 (en) | Method of preventing damage to porous low-k materials during resist stripping | |
| US7226852B1 (en) | Preventing damage to low-k materials during resist stripping | |
| US8815745B2 (en) | Reducing damage to low-K materials during photoresist stripping | |
| KR101083622B1 (en) | Reduction of feature critical dimensions | |
| US8614149B2 (en) | Critical dimension reduction and roughness control | |
| US7977242B2 (en) | Double mask self-aligned double patterning technology (SADPT) process | |
| US20060134917A1 (en) | Reduction of etch mask feature critical dimensions | |
| US6949460B2 (en) | Line edge roughness reduction for trench etch | |
| US8124516B2 (en) | Trilayer resist organic layer etch | |
| US7560388B2 (en) | Self-aligned pitch reduction | |
| US7910489B2 (en) | Infinitely selective photoresist mask etch | |
| US7396769B2 (en) | Method for stripping photoresist from etched wafer | |
| US20070181530A1 (en) | Reducing line edge roughness | |
| US20060011578A1 (en) | Low-k dielectric etch |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION |