TWI825991B - 水平環繞式閘極與鰭式場效電晶體元件的隔離 - Google Patents
水平環繞式閘極與鰭式場效電晶體元件的隔離 Download PDFInfo
- Publication number
- TWI825991B TWI825991B TW111134672A TW111134672A TWI825991B TW I825991 B TWI825991 B TW I825991B TW 111134672 A TW111134672 A TW 111134672A TW 111134672 A TW111134672 A TW 111134672A TW I825991 B TWI825991 B TW I825991B
- Authority
- TW
- Taiwan
- Prior art keywords
- material layer
- layer
- silicon
- silicon germanium
- substrate
- Prior art date
Links
- 238000002955 isolation Methods 0.000 title abstract description 14
- 239000000463 material Substances 0.000 claims abstract description 256
- 239000000758 substrate Substances 0.000 claims abstract description 89
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 69
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 64
- 229910052732 germanium Inorganic materials 0.000 claims description 35
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 35
- 239000002210 silicon-based material Substances 0.000 claims description 26
- 239000003989 dielectric material Substances 0.000 claims description 23
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 5
- 238000000034 method Methods 0.000 abstract description 147
- 230000015572 biosynthetic process Effects 0.000 abstract description 15
- 230000005669 field effect Effects 0.000 abstract description 4
- 230000008569 process Effects 0.000 description 126
- 230000003647 oxidation Effects 0.000 description 41
- 238000007254 oxidation reaction Methods 0.000 description 41
- 229910052710 silicon Inorganic materials 0.000 description 31
- 239000010703 silicon Substances 0.000 description 31
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 29
- 238000000137 annealing Methods 0.000 description 28
- 238000012545 processing Methods 0.000 description 20
- 239000004065 semiconductor Substances 0.000 description 18
- 238000005530 etching Methods 0.000 description 16
- 229910052751 metal Inorganic materials 0.000 description 16
- 239000002184 metal Substances 0.000 description 16
- 238000000151 deposition Methods 0.000 description 13
- 238000005229 chemical vapour deposition Methods 0.000 description 12
- 238000003860 storage Methods 0.000 description 12
- 150000003254 radicals Chemical class 0.000 description 11
- 230000015654 memory Effects 0.000 description 10
- 230000003071 parasitic effect Effects 0.000 description 10
- 238000005137 deposition process Methods 0.000 description 9
- 230000008021 deposition Effects 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 238000000059 patterning Methods 0.000 description 5
- 239000002243 precursor Substances 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 238000012423 maintenance Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000009969 flowable effect Effects 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 108091028072 EteRNA Proteins 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910003697 SiBN Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910010041 TiAlC Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- MCMNRKCIXSYSNV-UHFFFAOYSA-N ZrO2 Inorganic materials O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 1
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000005388 borosilicate glass Substances 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- QUZPNFFHZPRKJD-UHFFFAOYSA-N germane Chemical compound [GeH4] QUZPNFFHZPRKJD-UHFFFAOYSA-N 0.000 description 1
- 229910052986 germanium hydride Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- -1 oxygen radicals Chemical class 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 239000005360 phosphosilicate glass Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000011819 refractory material Substances 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910021324 titanium aluminide Inorganic materials 0.000 description 1
- 239000004408 titanium dioxide Substances 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 230000003313 weakening effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/791—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
- H10D30/795—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being in lateral device isolation regions, e.g. STI
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/751—Insulated-gate field-effect transistors [IGFET] having composition variations in the channel regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/024—Manufacture or treatment of FETs having insulated gates [IGFET] of fin field-effect transistors [FinFET]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/62—Fin field-effect transistors [FinFET]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/67—Thin-film transistors [TFT]
- H10D30/6728—Vertical TFTs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/67—Thin-film transistors [TFT]
- H10D30/6729—Thin-film transistors [TFT] characterised by the electrodes
- H10D30/673—Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes
- H10D30/6735—Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes having gates fully surrounding the channels, e.g. gate-all-around
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/80—Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials
- H10D62/81—Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials of structures exhibiting quantum-confinement effects, e.g. single quantum wells; of structures having periodic or quasi-periodic potential variation
- H10D62/815—Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials of structures exhibiting quantum-confinement effects, e.g. single quantum wells; of structures having periodic or quasi-periodic potential variation of structures having periodic or quasi-periodic potential variation, e.g. superlattices or multiple quantum wells [MQW]
- H10D62/8181—Structures having no potential periodicity in the vertical direction, e.g. lateral superlattices or lateral surface superlattices [LSS]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/20—Electrodes characterised by their shapes, relative sizes or dispositions
- H10D64/27—Electrodes not carrying the current to be rectified, amplified, oscillated or switched, e.g. gates
- H10D64/311—Gate electrodes for field-effect devices
- H10D64/411—Gate electrodes for field-effect devices for FETs
- H10D64/511—Gate electrodes for field-effect devices for FETs for IGFETs
- H10D64/512—Disposition of the gate electrodes, e.g. buried gates
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/017—Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes
Landscapes
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Element Separation (AREA)
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Composite Materials (AREA)
- Materials Engineering (AREA)
Abstract
本文中所述的實施例總體涉及用於水平環繞式閘極(hGAA)隔離和鰭式場效電晶體(FinFET)隔離的方法和裝置。可在基材上形成包括按交替式堆疊形成來佈置的不同材料的超晶格結構。在一個實施例中,可氧化超晶格結構的層中的至少一層以形成鄰接基材的埋入式氧化物層。
Description
本公開的實施例總體涉及半導體元件。更具體而言,本文中所述的實施例涉及水平環繞式閘極元件結構以及鰭式(fin)場效元件結構。進一步的實施例涉及用於形成水平環繞式閘極元件結構以及鰭式場效電晶體元件結構的方法。
隨著電晶體元件的特徵尺寸繼續收縮以實現更大的電路密度和更高的性能,對於改善電晶體元件結構以改善靜電耦接並減小不利效應(諸如,寄生電容和關斷狀態洩漏)具有需求。電晶體元件結構的示例包括平面結構、鰭式場效電晶體(FinFET)結構以及水平環繞式閘極(horizontal gate-all-around, hGAA)結構。hGAA元件結構包括若干晶格匹配的溝道,該晶格匹配的溝道以堆疊配置懸置並由源極/汲極區連接。
然而,與hGAA結構相關聯的挑戰包括在堆疊的晶格匹配溝道的底部處寄生元件的存在。FinFET結構(此FinFET結構可能呈現與hGAA結構不同的結構)也遭受寄生洩漏和電容。用於減輕寄生元件效應的常規方式包括將摻雜物植入到寄生元件中以抑制元件的洩漏。然而,抑制洩漏所需的摻雜物的劑量可能阻礙元件結構在寄生元件上的磊晶生長。摻雜物可能在後續的處理操作期間有害地擴散到期間結構的溝道中,這可能導致不期望的元件可變性的增加。此外,植入可能不足以減小寄生電容。另一常規方式利用對高度摻雜寄生元件的熱氧化。然而,熱氧化製程一般要求超出堆疊的晶格匹配溝道的熱預算的溫度。
因此,本領域中需要用於形成FinFET和hGAA元件結構的改善的方法。
在一個實施例中,提供一種形成半導體元件的方法。該方法包括:在基材上形成超晶格結構。該超晶格結構可包括第一材料層、第二材料層和第三材料層。可圖案化該超晶格結構,並且可蝕刻該超晶格結構和該基材。可氧化該第一材料層、該第二材料層或該第三材料層中的至少一者以形成埋入式氧化物層。可執行襯層沉積製程以在該超晶格結構上形成襯層,並且可執行淺溝槽隔離製程以在該基材上沉積氧化物材料層,並且可對該基材退火。
在另一實施例中,提供一種形成半導體元件的方法。該方法包括:在基材上形成超晶格結構。該超晶格結構可包括矽材料層、低鍺含量矽鍺材料層以及高鍺含量矽鍺材料層。可圖案化該超晶格結構,並且可蝕刻該超晶格結構和該基材。可氧化該矽材料層、低鍺含量矽鍺材料層以及高鍺含量矽鍺材料層中的至少一者以形成埋入式氧化物層。可執行襯層沉積製程以在該超晶格結構上形成襯層,並且可執行淺溝槽隔離製程以在該基材上沉積氧化物材料層,並且可對該基材退火。
在又一實施例中,提供一種形成半導體元件的方法。該方法包括:在基材上形成超晶格結構。該超晶格結構可包括矽材料層、包含約20%與約40%之間的鍺的第一矽鍺材料層以及包含約50%與約80%之間的鍺的第二矽鍺材料層。能以堆疊佈置來設置該矽材料層、該第一矽鍺材料層以及該第二矽鍺材料層。可圖案化該超晶格結構,並且可蝕刻該超晶格結構和該基材。可氧化該第一材料層、該第一矽鍺材料層以及該第二矽鍺材料層中的至少一者以形成埋入式氧化物層。可執行襯層沉積製程以在該超晶格結構上形成襯層,並且可執行淺溝槽隔離製程以在該基材上沉積氧化物材料層,並且可對該基材退火。
在又一實施例中,提供一種元件結構。該元件結構可包括基材,該基材具有形成在該基材上的超晶格結構。該超晶格結構可包括矽材料層、包含約20%與約40%之間的鍺的第一矽鍺材料層以及包含約50%與約80%之間的鍺的第二矽鍺材料層。能以堆疊佈置來設置該矽材料層、該第一矽鍺材料層以及該第二矽鍺材料層。
在又一實施例中,提供一種元件結構。該元件結構包括超晶格結構,該超晶格結構包括矽材料層、包含約20%與約40%之間的鍺的第一矽鍺材料層以及包含約50%與約80%之間的鍺的第二矽鍺材料層。能以堆疊佈置來設置該矽材料層、該第一矽鍺材料層以及該第二矽鍺材料層。
在又一實施例中,提供一種元件結構。該元件結構可包括基材,該基材具有形成在該基材上的超晶格結構。該超晶格結構可包括一個或多個矽材料層、包含約20%與約40%之間的鍺的一個或多個第一矽鍺材料層以及埋入式氧化物層。能以堆疊佈置來設置該矽材料層、該矽鍺材料層以及該埋入式氧化物層。
在又一實施例中,提供一種元件結構。該元件結構可包括超晶格,該超晶格包括一個或多個矽材料層、包含約20%與約40%之間的鍺的一個或多個第一矽鍺材料層以及埋入式氧化物層。能以堆疊佈置來設置該矽材料層、該矽鍺材料層以及該埋入式氧化物層。
在又一實施例中,提供一種元件結構。該元件結構可包括基材,該基材具有形成在該基材上的超晶格結構。該超晶格結構可包括一個或多個矽材料層、包含約20%與約40%之間的鍺的一個或多個矽鍺材料層以及埋入式氧化物層。能以堆疊佈置來設置該矽材料層、該矽鍺材料層以及該埋入式氧化物層。可在該基材上形成源極/汲極區,並且可在該超晶格結構上方形成金屬閘極結構。
在又一實施例中,提供一種元件結構。該元件結構可包括基材以及設置在該基材上的矽鍺層。該矽鍺層可包括約20%與約40%之間的鍺,並且可在該矽鍺層上設置埋入式氧化物層。可在該埋入式氧化物層上設置矽層或包括約20%與約40%之間的鍺的矽鍺層,可在該基材上形成源極/汲極區,並且可在該矽層或該矽鍺層上方形成金屬閘極結構。
在又一實施例中,提供一種元件結構。該元件結構包括基材以及設置在該基材上且與該基材接觸的埋入式氧化物層。可在該埋入式氧化物層上設置矽層或包括約20%與約40%之間鍺的矽鍺層。可在該基材上形成源極/汲極區,並且可在該矽層或矽鍺層上方形成金屬閘極結構。
本文中所述的實施例總體涉及用於水平環繞式閘極(hGAA)隔離和鰭式場效電晶體(FinFET)隔離的方法和裝置。可在基材上形成包括按交替式堆疊形成來佈置的不同材料的超晶格結構。在一個實施例中,可氧化超晶格結構的層中的至少一層以形成鄰接基材的埋入式氧化物層。
在一個示例中,超晶格結構可包括以交替的堆疊佈置設置的一個或多個含矽材料層以及一個或多個含矽鍺(SiGe)材料層。當與超晶格結構中的其他SiGe層相比,SiGe層中的至少一層可具有較高的鍺含量。可氧化此較高鍺含量的SiGe層以形成埋入式氧化物層,從而在hGAA或FinFET架構中提供改善的元件隔離。作為結果,可實現基本上無缺陷的堆疊式溝道結構,該基本上無缺陷的堆疊式溝道結構可在基材上的每平方微米表面積的電流密度方面提供幾何益處。因此,可增加電流密度,可減少寄生洩漏和電容,並且可減少元件的功耗。
圖1示出用於在hGAA或FinFET結構中形成埋入式氧化物材料的方法100的操作。方法100可以是半導體元件(例如,hGAA或FinFET元件)的多操作製造製程的部分。在操作110處,可在基材上形成超晶格結構。如本文中所使用,術語「超晶格」(superlattice)是指晶格密切匹配材料的材料層的疊層,但是該晶格密切匹配材料在成分上充分地不同,使得可對超晶格材料執行選擇性去除製程。更一般而言,疊層中的各種材料層的成分對於此疊層中的材料層中的一個或多個材料層可以是唯一的。在一個示例中,超晶格結構可包括含矽材料和含矽鍺材料的一個或多個層。在一個實施例中,超晶格結構可包括第一材料層和第二材料層。在另一實施例中,超晶格結構可包括第一材料層、第二材料層和第三材料層。在此實施例中,第二材料層和第三材料層可由相同的複合材料形成,並且可具有不同的材料特性。
在操作120處,可圖案化並蝕刻超晶格結構。在操作130處,可氧化第一材料層、第二材料層或第三材料層中的至少一者以形成埋入式氧化物(buried oxide:BOX)層。在一個示例中,氧化第二材料層和第三材料層。在另一示例中,氧化第三材料層。
在操作140處,可在超晶格材料的側壁上形成襯層材料。在一個實施例中,可例如透過化學氣相沉積、原子層沉積或磊晶沉積製程來沉積襯層材料。在另一實施例中,可透過合適的製程(諸如,熱氧化或熱氮化製程)來形成(即,生長)襯層材料。襯層材料一般配置成修復超晶格結構中在先前的蝕刻製程期間可能損壞的多個部分。襯層材料也可防止或減少在後續的處理操作期間超晶格結構中材料層的氧化。
在一個實施例中,襯層材料是氧化物材料、氮化物材料或氮氧化物材料。例如,襯層材料可以是SiO
2材料、SiN材料或SiON材料。在其他實施例中,襯層材料可包含碳和/或硼。例如,襯層材料可以是SiCN材料、SiOCN材料、SiBN材料、SiOBN材料和/或SiOBCN材料。在另一示例中,襯層材料可以是磷矽酸玻璃、硼矽酸玻璃或經摻雜的玻璃材料。構想了在某些實施例中可摻雜各種前述襯層材料。
在操作150處,可在基材上沉積淺溝槽隔離(STI)材料。在一個實施例中,STI材料可以是氧化物材料,諸如,SiO
2等。一般而言,可在超晶格材料上方並圍繞此超晶格材料形成氧化物材料。在一個實施例中,藉由可流動化學氣相沉積(CVD)製程來沉積氧化物材料。
在操作160處,可對基材執行退火製程。在一個實施例中,退火製程包括蒸汽退火製程。在另一實施例中,退火製程包括蒸汽退火製程和乾法退火製程。在又一實施例中,退火製程包括乾法退火製程(即,無蒸汽)。一般而言,退火製程提供對STI材料的改善的緻密化,這可改善形成在基材上的特徵的隔離。
在操作170處,可執行STI凹陷製程。一般而言,可蝕刻STI材料以使超晶格材料的部分暴露。在一個實施例中,可使STI材料凹陷,使得STI材料與BOX層共面。也可在STI凹陷製程之前執行STI平坦化。在對圖2-7的描述中提供對方法100的更詳細的描述,圖2-7示出半導體元件製造的各階段。
圖2示出基材202的部分的示意性截面圖,該基材具有形成在該基材上的超晶格結構200。在一個實施例中,基材202可以是塊狀半導體基材。術語「塊狀半導體基材」是指在其中基材的整體由半導體材料組成的基材。塊狀半導體基材可包括用於形成半導體結構的任何半導電材料和/或半導體材料的組合。例如,半導電層可包括諸如以下各項的一種或多種材料:晶體矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、經摻雜或未經摻雜的多晶矽、經摻雜或未經摻雜的矽基材、經圖案化或未經圖案化的基材、經摻雜的矽、鍺、鎵、砷化物或其他合適的半導電材料。在一些實施例中,半導體材料是矽。在一些實施例中,半導體材料可以是經摻雜的材料,諸如n型摻雜矽(n-矽)或p型摻雜矽(p-矽)。
超晶格結構200包括以多個堆疊對交替地佈置的多個第一層204以及對應的多個第二層206。在一個實施例中,可由含矽材料形成多個第一層204。在一個實施例中,可至少由含矽材料和含鍺材料形成多個第二層206。因此,第一材料層204和第二材料層206是不同的材料。在一些實施例中,多個第一材料層204與對應的多個第二材料層206可以是晶格匹配材料,並且具有充分的成分差異,使得可在後續執行選擇性層去除或選擇性氧化。
在各種實施例中,多個第一層204可包括IV族材料,諸如,矽。多個第二層206也可包括IV族材料,諸如,矽鍺(SiGe)。在其他實施例中,多個第一層204和多個第二層206可包括III-V族材料,分別諸如,磷化銦(InP)和磷化銦鎵(InGaP)。在一些實施例中,多個第一層204和多個第二層206可以是多對晶格匹配材料。在一些實施例中,多個第一層204和對應的多個第二層206可以是適於在超晶格結構200上形成超晶格的任何數量的晶格匹配材料對。例如,多個第一材料層204和對應的多個第二材料206可包括在約2對至約5對之間的晶格匹配材料。
材料層210和材料層208也可被包括在多個第二材料層中。替代地,可將材料層208視為第三材料層。材料層210和208可由於第二材料206相同的材料(諸如,矽鍺)形成。然而,構想了材料層210與208的成分屬性可在Si:Ge摩爾比方面有所不同。
在一個示例中,多個第一層204和材料層210可具有在約1:1與約5:1之間的矽:鍺摩爾比。在一個實施例中,多個第一層204和材料層210的矽鍺材料可具有約10%與約50%之間的鍺含量,諸如,約20%與約40%之間的鍺含量。矽含量可在約30%與約90%之間,諸如,在約50%與約80%之間,例如,約70%。或者,多個第一材料層204可由純矽材料形成。在另一示例中,材料層208具有約1:1與約1:5之間的矽:鍺摩爾比。在一個實施例中,材料層208的矽鍺材料可具有約20%與約100%之間的鍺含量,諸如,約50%與約80%之間的鍺含量。矽含量可在約0%與約80%之間,諸如,在約20%與約40%之間。
可使用磊晶化學氣相沉積製程來沉積多個第一層204、多個第二層206以及材料層210、208。用於形成多個第一層204、多個第二層206以及材料層210、208的合適前驅物包括SiH
4和GeH
4,等等。在一些實施例中,能以足夠低的溫度(例如,約攝氏300度至約攝氏750度之間)來沉積多個第一層204和多個第二層206以防止不同的原子物質的互混。作為結果,可控制不同原子物質之間的介面,這提供了在選擇性蝕刻或改性製程(諸如,氧化製程)期間對結構的有利控制。
超晶格結構200的材料層可具有受控的厚度以提供各種材料的基本無缺陷的結晶輪廓。在一些實施例中,超晶格結構200的層可具有約3 nm與約50 nm之間的厚度。例如,多個第一層204可具有約3 nm與約10 nm之間的厚度220,諸如,約5 nm與7 nm之間,例如,約6 nm。多個第二層206可具有約5 nm與約15 nm之間的厚度218,諸如,約7 nm與10 nm之間,例如,約8 nm。材料層210可具有約5 nm與約15 nm之間的厚度214,諸如,約8 nm與12 nm之間,例如,約10 nm。材料層208可具有約5 nm與約15 nm之間的厚度216,諸如,約8 nm與12 nm之間,例如,約10 nm。
當在基材202上形成超晶格結構200期間,可按某些序列沉積各種材料層以在超晶格結構200內製造一個或多個元件。在一個實施例中,可在基材202上設置材料層210,並且可在材料層210上設置材料層208。在另一實施例中,材料層210可以是任選的,使得在基材202上設置材料層208。
能以交替的佈置來沉積多個第二層206和多個第一層204以形成堆疊結構。在此實施例中,可在材料層208上設置第二層206中的一個第二層,並且可在第二層206中的該一個第二層上設置第一層204中的一個第一層。也可在超晶格結構200上設置硬光罩層212。在一個實施例中,在第一層204中的一個第一層上設置硬光罩層212。硬光罩層212可以是任何合適的硬光罩材料,諸如,氮化矽材料等。
圖3示出在執行了圖案化、蝕刻和氧化製程之後圖2的基材202的部分以及超晶格結構200的示意性截面圖。在一個實施例中,可利用微影製程(諸如,極紫外(extreme ultraviolet)圖案化製程)來圖案化基材202和超晶格結構200。在另一實施例中,可利用自對準雙重或四重圖案化製程來圖案化基材202和超晶格結構200。圖案化製程可配置成允許在蝕刻製程之後以約5 nm與約15 nm之間(例如,約7 nm與約10 nm之間)的溝道寬度302來形成超晶格結構200。
可用於蝕刻基材202和超晶格結構200的示例性蝕刻製程為反應離子蝕刻(RIE)等。在一個實施例中,可利用氯基、溴基或氟基化學品來執行RIE製程,以便各向異性地蝕刻基材202和超晶格結構200。
形成在基材202上的超晶格結構200也可經受氧化製程。氧化製程可選擇性地氧化超晶格結構200的各種材料層中的一個或多個層。合適的氧化製程包括解耦電漿氧化製程、遠端電漿氧化製程、紫外臭氧氧化製程以及自由基氧化製程。例如,氧化製程可選擇性地氧化材料層208。氧化製程可配置成使得在此氧化製程期間不氧化相對低鍺含量的層(例如,材料層210和多個第二層206),同時提供相對高含量鍺的層(諸如,材料層208)的氧化。在選擇性氧化之後,材料層208轉換為埋入式氧化物(BOX)層308。在一個實施例中,氧化材料層208以形成BOX層308也可包括:作為材料層鄰接材料層208的結果而氧化材料層210。然而,在此實施例中,多個第二層206可保持基本上不受氧化。
在一個實施例中,可利用自由基氧化製程來形成BOX層308。自由基氧化製程一般使所需的材料暴露於氧自由基,以便選擇性地氧化所需的材料層。可在配置成用於執行自由基氧化製程的處理環境中設置基材202和超晶格結構200。自由基氧化製程的溫度可在約500ºC與約900ºC之間,諸如,在約600ºC與約800ºC之間,例如,約700ºC。可在約1毫托(mTorr)與約760托(Torr)之間(諸如,1托與100托之間,例如,7托)的壓力下執行自由基氧化製程。可執行自由基氧化製程達足以氧化高鍺含量材料層的時間量。在一個實施例中,可執行自由基氧化製程達約1秒與約60秒之間(諸如,約10秒與約30秒之間,例如,約20秒)的時間量。
在自由基氧化製程期間提供至處理環境的前驅物包括含氧前驅物和含氫前驅物。在一個實施例中,可利用按照約50:1(O
2:H
2)至約150:1(諸如,在約90:1與約110:1之間,例如,約100:1)的比率的O
2和H
2。在此實施例中,能以約10 slm與約100 slm之間(諸如,約15 slm與約30 slm之間,例如,約19 slm與約20 slm之間)的流率來提供O
2。能以約0.1 slm與1.0 slm之間(諸如,0.2 slm)的流率來提供H
2。在前述實施例中,處理環境可配置成用於對300 mm基材執行自由基氧化製程。
利用根據前述實施例的自由基氧化製程可以每秒氧化約1 nm材料。例如,如果材料層208具有約40 nm的溝道寬度302,則可執行氧化製程達約20秒。人們相信,材料層208的氧化從材料層208的側壁向內繼續進行。因此,(利用合適的處理參數)形成BOX層308的氧化時間(
t)一般可定義為
t=n/2,其中,
n是溝道寬度302。藉由在後續的處理操作之前執行選擇性氧化以形成BOX層308,可實現處理效率。例如,可減少用於完全氧化BOX層308的時間量。此外,由於當與後續的處理操作期間執行的氧化製程相比具有要從中選擇的更少的材料和結構,因此可實現改善的氧化選擇性。此外,可在不需要如各種常規製程中所需的封蓋(capping)層的情況下執行BOX層形成製程。
圖4示出在執行了襯層形成製程之後圖3的基材202的部分以及超晶格結構200的示意性截面圖。在先前所述的蝕刻製程期間,超晶格結構200的側壁可能受損。可執行襯層沉積製程,以便將襯層材料402沉積在超晶格結構200的側壁上以及基材202的至少部分處。
襯層材料沉積製程可包括用於製造襯層材料402的若干不同的操作。例如,可執行熱氧化製程,以便在超晶格結構200(該超晶格結構200包括BOX層308)的側壁以及基材202上沉積氧化物材料。隨後,可執行氮化製程(諸如,解耦電漿氮化製程),以便將氮併入氧化物材料中以形成氮氧化物材料。隨後,氮氧化物襯層材料402可經受氮化後退火製程,以便進一步將氮併入氧化物材料中。氮化後退火製程也可治癒可能存在於襯層材料402中的缺陷。
在一個實施例中,襯層材料402的寬度404可在約5 Å與約50 Å之間,諸如,在約20 Å與約30 Å之間,例如,約25 Å。構想了襯層材料402可適用於在後續淺溝槽隔離製程中防止對超晶格結構200的未氧化材料層的氧化。
圖5示出在執行了淺溝槽(trench)隔離(STI)製程之後圖4的基材202的部分以及超晶格結構200的示意性截面圖。一般執行STI製程,以便將基材202和/或超晶格結構200中的至少一者與在基材202上具有不同導電性類型(例如,n型或p型)和/或鄰近電晶體特徵(未示出)的井(well)電隔離。在一個實施例中,STI製程可以是可流動CVD沉積製程,該可流動CVD沉積製程配置成沉積介電材料層502(諸如,氧化矽材料或氮化矽材料)。可使用高密度電漿CVD系統、電漿增強型CVD系統和/或亞大氣CVD系統等來形成介電材料層502。可適於形成介電材料層502的CVD系統的示例包括ULTIMA HDP CVD
®系統和 PRODUCER
®ETERNA CVD
®系統,這兩者都可從美國加州聖克拉拉市的應用材料公司獲得。構想了也可利用來自其他製造商的其他適當配置的CVD系統。
圖6示出在執行了退火製程之後圖5的基材202的部分以及超晶格結構200的示意性截面圖。可執行退火製程以使介電材料層502緻密化,從而形成緻密化的介電材料層602。
在一個實施例中,退火製程包括蒸汽退火製程。可在約攝氏300度與約攝氏800度之間(諸如,約攝氏500度與約攝氏600度之間)的溫度下執行蒸汽退火製程。可執行蒸汽退火製程達約15分鐘與約180分鐘之間(例如,約120分鐘)的時間量。蒸汽退火製程也可進一步氧化緻密化的介電材料層602。
在另一實施例中,退火製程也可包括乾法退火製程。可在約攝氏500度與約攝氏1000度之間(諸如,約攝氏650度與約攝氏750度之間)的溫度下執行乾法退火製程。可執行乾法退火製程達約1分鐘與約60分鐘之間(例如,約30分鐘)的時間量。在又一實施例中,可利用蒸汽退火製程和乾法退火製程兩者。在此實施例中,可在蒸汽退火製程之後執行乾法退火製程。
在執行了一個或多個退火製程之後,可平坦化基材202。更具體而言,可拋光、蝕刻或以其他方式更改緻密化的介電材料層602,使得緻密化的材料層602的頂表面與硬光罩層212基本上共面。在一個實施例中,硬光罩層212可用作化學機械拋光製程的停止指示物。在對緻密化介電材料層602的平坦化之後,也可從超晶格結構200中去除硬光罩層212。
圖7示出在執行了STI凹陷(recess)製程之後圖6的基材202的部分以及超晶格結構200的示意性截面圖。STI凹陷製程一般是配置成去除緻密化介電材料層602的至少部分的蝕刻製程。在一個實施例中,可去除緻密化介電材料層602的頂表面702,使得此頂表面702與BOX層308或與多個第二層206中的一個第二層與BOX層308之間的介面基本上共面。STI凹陷製程還可去除襯層材料402的部分。在一個實施例中,STI凹陷製程可以是遠端電漿輔助式乾法蝕刻製程,此遠端電漿輔助式乾法蝕刻製程使設置在基材202上的各種材料暴露於H
2、NF
3和NH
3電漿副產物。STI製程是總體共形的(conformal)去除製程,並且對於氧化矽材料可以是選擇性的且不輕易蝕刻矽。例如,BOX層308的去除速率可小於緻密化介電材料層602的去除速率。襯層材料402的存在可進一步減少或防止在STI凹陷製程期間對BOX層308的蝕刻。因此,在對緻密化介電材料層602的蝕刻期間可減少或減弱BOX材料308的過度蝕刻或下切(undercutting)。在一個實施例中,可藉由SICONI
®製程以及可從美國加州聖克拉拉市的應用材料公司獲得的適當地配置的裝置來執行STI凹陷製程。構想了也可利用其他合適的蝕刻製程和裝置來執行STI凹陷製程。
在執行了STI凹陷製程之後,可執行後續的hGAA或FinFET處理操作。有利的是,BOX層308自對準至超晶格結構200的底部區域。本文中描述的自對準BOX形成製程透過減少或減弱寄生電容和洩漏,有利地改善了電晶體元件性能並減小了電晶體元件可變性。此外,透過在沉積介電材料層502之前形成BOX層308或透過在沉積介電材料層502之後形成BOX層,可實現BOX層形成的處理靈活性和效率。
用於形成hGAA和FinFET元件結構的後續處理操作一般包括閘極結構形成和源極/汲極形成。圖8示出具有形成在其上的虛擬閘極結構802的基材202和超晶格結構200的示意性截面圖。虛擬閘極結構802可由適用於用作占位體(placeholder)以用於後續取代金屬閘極形成的一種或多種材料形成。在一個實施例中,虛擬閘極結構802可由含矽材料(諸如,非晶矽等)形成。
圖9示出沿截面線9-9旋轉了90的圖8的示意性截面圖,此圖描繪形成在鄰接超晶格結構200的基材202上的源極/汲極區902。源極/汲極區902一般沉積在基材202上,使得源極/汲極區902與超晶格結構200(其充當溝道)耦接,並且便於電子和電洞在其間的流動。
源極/汲極區902可由合適的材料形成,該材料諸如,含矽材料、經摻雜的矽材料、複合矽材料或非含矽材料。例如,源極/汲極區902可以是矽、含磷摻雜矽、矽鍺材料或鍺。構想了可回應於源極/汲極區902的所需的n型或p型特性來選擇源極/汲極區材料的類型。可透過合適的沉積技術(諸如,CVD技術或磊晶沉積技術)來沉積源極/汲極區902。
在某些實施例(諸如,hGGA集成方案)中,可由金屬閘極結構904取代多個第二層206和虛擬閘極結構802。可透過選擇性蝕刻製程來去除多個第二層206和虛擬閘極結構802,該多個第二層206和虛擬閘極結構802呈現出相對於超晶格結構200的其他層的充分不同的成分屬性。在某些實施例中,可透過對虛擬閘極結構802的材料具有選擇性的第一蝕刻製程來去除虛擬閘極結構802,並且可透過對第二層206的材料具有選擇性的第二蝕刻製程來去除多個第二層206。或者,可透過單個蝕刻製程來去除虛擬閘極結構802以及多個第二層206。雖然沒有示出,但是在某些實施例中,可在源極/汲極區902與金屬閘極結構904之間設置間隔體(spacer)材料。在一個實施例中,可在沉積源極/汲極區902之前執行對間隔體材料的沉積。
隨後,在先前由虛擬閘極結構802以及多個第二層206佔據的區域中沉積金屬閘極結構904。一般而言,可透過適當地配置的磊晶製程、原子層沉積(ALD)製程或CVD製程來沉積金屬閘極結構904。可用作金屬閘極結構904的材料一般呈現出大於約3.9的k值。具有合適地高的k值的材料的示例包括二氧化鉿、二氧化鋯、二氧化鈦、氮化鈦、鋁化鈦,等等。在某些實施例中,也可利用各種其他氮化物材料。在一個實施例中,可將上述材料用於取代多個第二層的金屬閘極結構904的多個部分。
取代虛擬閘極結構802的金屬閘極結構904的部分可由含金屬材料和/或導電材料形成。例如,合適的材料包括含鈦材料(諸如,TiN或TiAlC)以及含鉭材料(諸如,TaN)。其他合適的材料包括耐火材料,諸如,鎢、釕、錸,等等。在某些實施例中,用於形成取代多個第二層206以及虛擬閘極結構802的金屬結構904的多個部分的材料可以是與上述材料相同或不同的材料。可透過電晶體類型(即,NMOS/PMOS)來確定為金屬閘極結構904選擇的材料類型。
在一個實施例中,如果BOX層308先前未經氧化,則在形成源極/汲極區902期間和/或之後可執行氧化製程。因此,可改善在形成BOX層308時的製程靈活性,取決於所需的整合方案,這可提供更高效的BOX層形成以及改善的元件性能。構想了hGAA和FinFET處理序列等可透過實現上述BOX層形成方案(即,在沉積介電材料層502之前形成的BOX層308或在沉積介電材料層502之後形成的BOX層308)獲益。
圖10示出適用於執行本公開的一個或多個部分的群集工具1080的示意性平面圖。一般而言,群集工具1080是包括執行各種功能的多個腔室(例如,製程腔室1090A-D、保養腔室1091A-B,等等)的模組化系統,該功能包括:基材中心找尋與定向、脫氣、退火、沉積和/或蝕刻。
群集工具1080可至少包括配置成執行方法100的至少多個部分的半導體基材製程腔室,並且可以進一步包括諸如離子植入腔室、蝕刻腔室、沉積腔室等的腔室。群集工具1080的多個腔室安裝至真空移送室1088,該真空移送室1088容納適於在腔室之間取送基材的機械臂1089。真空移送室1088典型地維持在真空條件下,並且提供中間平台,該中間平台用於將基材從一個腔室取送至另一腔室和/或取送至定位在群集工具1080的前端處的裝載閘腔室1084。前段環境1083示出為定位成與裝載閘腔室1084選擇性連通。設置在前段環境1083中的艙體(pod)載入器1085能夠線性移動以及旋轉式移動(箭頭1082),以便在裝載閘腔室1084與多個艙體1087之間取送基材盒。
群集工具1080也包括控制器1081,該程式設計器1081經程式設計以執行在群集工具1080中執行的各種處理方法。例如,控制器1081可配置成控制來自氣源的各種前驅物氣體和製程氣體的流動,並且控制與材料沉積或蝕刻製程相關聯的處理參數。控制器1081包括可程式設計中央處理單元(CPU)1079(該CPU 1079與記憶體1077和大型存放區設備一起操作)、輸入控制單元和顯示單元(未示出),諸如,電源、時鐘、快取記憶體、輸入/輸出(I/O)電路,它們耦接至群集工具1080的各種元件以便於對基材處理的控制。控制器1081也可包括硬體以透過感測器在群集工具1080中監測基材處理。測量系統參數(諸如,基材溫度、腔室大氣壓力等)的其他感測器也可將資訊提供給控制器1081。
為了便於對上述群集工具1080的控制,CPU 1079可以是各種形式的通用電腦處理器中的一個(諸如,可程式設計邏輯控制器(PLC)),該通用電腦處理器可在工業設置中用於控制各種腔室和子處理器。記憶體1077耦接至CPU 1079,並且記憶體1077是非暫態的,並且可以是可容易獲得的記憶體中的一種或多種,諸如,隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟機、硬碟,或者本地或遠端的任何形式的數位存放裝置。支援電路1075耦接至CPU 1079,以便以常規方式支援處理器。沉積、蝕刻和其他製程一般在記憶體1077被典型地存儲為軟體常式。可由第二CPU(未示出)存儲和/或執行軟體常式,該第二CPU位於由CPU 1079控制的硬體的遠端。
記憶體1077為包含指令的電腦可讀存儲媒體形式,當由CPU 1079執行該指令時,該指令便於群集工具1080的操作。記憶體1077中的指令為程式產品的形式,諸如,實現本公開的方法的程式。程式碼可符合多種不同的程式設計語言中的任一種。在一個示例中,本公開可實現為存儲在電腦可讀存儲媒體上以供與電腦系統一起使用的程式產品。程式產品的(多個)程式定義實施例(包括本文中所述的方法)的功能。說明性電腦可讀存儲媒體包括但不限於:(i)資訊永久地存儲在其上的非可寫存儲媒體(例如,電腦內的唯讀記憶體設備(諸如,可由CD-ROM驅動器讀取的CD-ROM盤)、快閃記憶體、ROM晶圓或任何類型的固態非易失性半導體記憶體);以及(ii)其上存儲了可更改資訊的可寫存儲媒體(例如,盤驅動器內的軟碟、或硬碟、或任何類型的固態隨機存取半導體記憶體)。當攜帶指示本文中所述的方法的功能的電腦可讀指令時,此類電腦可讀存儲媒體是本公開的實施例。
為了實踐本公開的實施例,群集工具1080的處理器腔室中的至少一個(例如,1090A)可配置成執行蝕刻製程,第二處理腔室(例如,1090B)可配置成執行清潔製程,並且第三處理腔室(例如,1090C)可配置成執行磊晶沉積製程。具有所陳述配置的群集工具在蝕刻了源極/汲極凹陷之後有利地防止不期望的氧化,並且在磊晶沉積之前減少或減弱對經氧化表面的後續清潔。在一些實施例中,群集工具1080的處理腔室中的至少一個(例如,1090A)可配置成執行選擇性蝕刻製程,並且第二處理腔室(例如,1090B)可配置成執行沉積製程(例如,沉積介電材料)。具有所陳述配置的群集工具在hGAA或FinFET溝道的暴露後有利地防止溝道結構的氧化。
圖11示意性地示出根據本文中所述的實施例的、可形成和/或實現在元件中的元件結構1100的截面圖。元件結構1100一般可視為上文所定義的超晶格結構的附加實施例。在一個實施例中,可在基材202上形成元件結構1100。在一個實施例中,元件結構1100可包括:設置在基材202上的材料層210、設置在材料層210上的BOX層308以及設置在BOX層308上的單個的第一層204。在另一實施例中,元件結構1100可包括直接設置在基材202上的BOX層308以及設置在BOX層308上的單個的第一層204。在此實施例中,在基材202與BOX層308之間不存在材料層210。
適用於單個的第一層204的材料包括含矽材料,諸如,純矽或經摻雜的矽材料。適用於形成單個的第一層204的其他材料包括矽鍺材料。例如,矽鍺材料可包括約20%與約40%之間的矽鍺以及約60%與80%之間的矽。構想了可在FinFET集成方案中有利地利用元件結構1100。可根據圖1以及圖3-7中描述的操作來處理元件結構1100。也可根據圖8-9的公開內容來實現元件結構1100,而不處理涉及取代多個第二層206的處理操作。
圖12示出合併了圖11的元件結構1100的元件的示意性截面圖。如圖所示,可根據如上所述的圖8-9的公開內容來處理元件結構1100以形成包括源極/汲極902以及金屬閘極結構904的元件。構想了可對於形成FinFET型元件來有利地實現參照圖12描述的實施例,同時可對於形成hGAA型元件來有利地實現參照圖9所述的實施例。然而,可單獨地或組合地利用來自FinFET和hGAA方案兩者的實施例,以便形成在形成BOX層308時呈現出改善的製程靈活性並且提供更高效的BOX層形成和改善的元件性能的元件結構。
雖然上述內容涉及本公開的實施例,但是可設計本公開的其他和進一步的實施例而不背離本公開的基本範圍,並且本公開的範圍由所附申請專利範圍來確定。
100:方法
110:操作
120:操作
130:操作
140:操作
150:操作
160:操作
170:操作
200:超晶格結構
202:基材
204:第一層
206:第二層
208:材料層
210:材料層
212:硬光罩層
214:厚度
216:厚度
218:厚度
220:厚度
302:溝道寬度
308:埋入式氧化物(BOX)層
402:襯層材料
404:寬度
502:介電材料層
602:介電材料層
702:頂表面
802:虛擬閘極結構
902:源極/汲極區
904:金屬閘極結構
1075:支援電路
1077:記憶體
1079:CPU
1080:群集工具
1081:控制器
1082:箭頭
1083:前段環境
1084:裝載閘腔室
1085:艙體(pod)載入器
1087:艙體
1088:真空移送室
1089:機械臂
1090A:製程腔室
1090B:製程腔室
1090C:製程腔室
1090D:製程腔室
1091A保養腔室
1091B保養腔室
1100:元件結構所有符號為單一段落號
因此,為了可詳細地理解上文陳述的本公開的特徵的方式,可參照實施例進行對上文簡要概述的本公開的更特定的描述,在所附附圖中示出實施例中的一些。然而,應注意的是,所附附圖僅示出示例性實施例,並且因此不應視為限制本公開的範圍,本公開可允許其他等效實施例。
圖1示出用於在元件結構中形成埋入式氧化物材料的方法的操作。
圖2示出基材的部分的示意性截面圖,該基材具有形成在該基材上的超晶格結構。
圖3示出在執行了圖案化、蝕刻和埋入式氧化物層形成製程之後圖2的基材的部分以及超晶格結構的示意性截面圖。
圖4示出在執行了襯層形成製程之後圖3的基材的部分以及超晶格結構的示意性截面圖。
圖5示出在執行了淺溝槽(trench)隔離(STI)製程之後圖4的基材的部分以及超晶格結構的示意性截面圖。
圖6示出在執行了退火製程之後圖5的基材的部分以及超晶格結構的示意性截面圖。
圖7示出在執行了STI凹陷(recess)製程之後圖6的基材的部分以及超晶格結構的示意性截面圖。
圖8示出在形成虛擬閘極(dummy gate)結構之後圖7的基材的部分以及超晶格結構的示意性截面圖。
圖9示出旋轉了90°的圖8的示意性截面圖,此圖描繪形成在鄰接超晶格結構的基材上的源極和汲極區。
圖10示出可根據本文中所述的實施例中的一個或多個來利用的群集工具。
圖11示出根據本文中所述的實施例的、可形成和/或實現在元件中的元件結構的示意性橫截面圖。
圖12示出合併了圖11的元件結構的元件的示意性截面圖。
為了便於理解,在可能的情況下,已使用相同的參考編號來指定各圖所共有的元件。構想了一個實施例的元件和特徵可有益地併入其他實施例而無需進一步的陳述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
100:方法
110:操作
120:操作
130:操作
140:操作
150:操作
160:操作
170:操作
Claims (17)
- 一種水平環繞式閘極元件結構,包括:一基材,該基材具有形成在該基材上的一超晶格結構,該超晶格結構包括:一矽材料層;一第一矽鍺材料層,該第一矽鍺材料層包括約20%與約40%之間的鍺;及由一第二矽鍺材料層形成的一埋入式氧化物層,該第二矽鍺材料層包括約50%與約80%之間的鍺,其中該矽材料層、該第一矽鍺材料層以及該埋入式氧化物層以一堆疊佈置來設置;以及一襯層,該襯層形成在該超晶格結構的側壁上,其中一介電材料層沉積在該基材上,該介電材料層的一頂表面與該埋入式氧化物層與該第一矽鍺材料層之間的一介面基本上共面。
- 如請求項1所述的元件結構,其中該埋入式氧化物層設置在複數個該第一矽鍺材料層之間。
- 如請求項1所述的元件結構,其中該襯層是一氮氧化物材料、一氮化矽材料或其組合。
- 如請求項2所述的元件結構,其中該襯層是一氮氧化物材料、一氮化矽材料或其組合。
- 如請求項1所述的元件結構,其中該第一 矽鍺材料層與該矽材料層以一交替的堆疊佈置來設置。
- 如請求項1所述的元件結構,其中該矽材料層具有約5nm與約15nm之間的一厚度。
- 如請求項6所述的元件結構,其中該第一矽鍺材料層具有約3nm與約10nm之間的一厚度。
- 如請求項7所述的元件結構,其中該埋入式氧化物層具有約5nm與約15nm之間的一厚度。
- 如請求項1所述的元件結構,進一步包括:一硬光罩,該硬光罩設置在該第一矽鍺材料層上。
- 一種水平環繞式閘極元件結構,包括:一超晶格結構,包括:一矽材料層;一第一矽鍺材料層,該第一矽鍺材料層包括約20%與約40%之間的鍺;及由一第二矽鍺材料層形成的一埋入式氧化物層,該第二矽鍺材料層包括約50%與約80%之間的鍺,其中該矽材料層、該第一矽鍺材料層以及該埋入式氧化物層以一堆疊佈置來設置;以及一襯層,該襯層形成在該超晶格結構的側壁上,其中一介電材料層沉積在該超晶格結構上,該介電 材料層的一頂表面與該埋入式氧化物層與該第一矽鍺材料層之間的一介面基本上共面。
- 如請求項10所述的元件結構,其中該埋入式氧化物層設置在複數個該第一矽鍺材料層之間。
- 如請求項10所述的元件結構,其中該襯層是一氮氧化物材料、一氮化矽材料或其組合。
- 如請求項10所述的元件結構,其中該第一矽鍺材料層與該矽材料層以一交替的堆疊佈置來設置。
- 如請求項10所述的元件結構,其中該矽材料層具有約5nm與約15nm之間的一厚度。
- 如請求項14所述的元件結構,其中該第一矽鍺材料層具有約3nm與約10nm之間的一厚度。
- 如請求項15所述的元件結構,其中該埋入式氧化物層具有約5nm與約15nm之間的一厚度。
- 如請求項10所述的元件結構,進一步包括:一硬光罩,該硬光罩設置在該第一矽鍺材料層上。
Applications Claiming Priority (4)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US201562159715P | 2015-05-11 | 2015-05-11 | |
| US62/159,715 | 2015-05-11 | ||
| US201562265260P | 2015-12-09 | 2015-12-09 | |
| US62/265,260 | 2015-12-09 |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| TW202306149A TW202306149A (zh) | 2023-02-01 |
| TWI825991B true TWI825991B (zh) | 2023-12-11 |
Family
ID=57277742
Family Applications (4)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| TW111134672A TWI825991B (zh) | 2015-05-11 | 2016-05-06 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
| TW112100169A TWI826223B (zh) | 2015-05-11 | 2016-05-06 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
| TW110108427A TWI791199B (zh) | 2015-05-11 | 2016-05-06 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
| TW105114153A TWI723993B (zh) | 2015-05-11 | 2016-05-06 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
Family Applications After (3)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| TW112100169A TWI826223B (zh) | 2015-05-11 | 2016-05-06 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
| TW110108427A TWI791199B (zh) | 2015-05-11 | 2016-05-06 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
| TW105114153A TWI723993B (zh) | 2015-05-11 | 2016-05-06 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
Country Status (4)
| Country | Link |
|---|---|
| US (3) | US9865735B2 (zh) |
| KR (3) | KR102509036B1 (zh) |
| CN (2) | CN113161421B (zh) |
| TW (4) | TWI825991B (zh) |
Families Citing this family (39)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| TWI825991B (zh) * | 2015-05-11 | 2023-12-11 | 美商應用材料股份有限公司 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
| US10522387B2 (en) * | 2016-12-15 | 2019-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and multi-wafer deposition apparatus |
| CN108346699B (zh) * | 2017-01-23 | 2021-02-26 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及制备方法、电子装置 |
| US10224224B2 (en) | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
| US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
| US10354923B2 (en) * | 2017-05-31 | 2019-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method for atomic layer deposition of a dielectric over a substrate |
| US10269571B2 (en) * | 2017-07-12 | 2019-04-23 | Applied Materials, Inc. | Methods for fabricating nanowire for semiconductor applications |
| US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
| KR102405723B1 (ko) | 2017-08-18 | 2022-06-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 및 고온 어닐링 챔버 |
| US11177128B2 (en) * | 2017-09-12 | 2021-11-16 | Applied Materials, Inc. | Apparatus and methods for manufacturing semiconductor structures using protective barrier layer |
| CN117936417A (zh) | 2017-11-11 | 2024-04-26 | 微材料有限责任公司 | 用于高压处理腔室的气体输送系统 |
| US10854483B2 (en) | 2017-11-16 | 2020-12-01 | Applied Materials, Inc. | High pressure steam anneal processing apparatus |
| KR20200075892A (ko) | 2017-11-17 | 2020-06-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 처리 시스템을 위한 컨덴서 시스템 |
| US10438853B2 (en) * | 2017-11-22 | 2019-10-08 | Globalfoundries Inc. | Methods, apparatus and system for forming a FinFET device comprising a first portion capable of operating at a first voltage and a second portion capable of operating at a second voltage |
| US11114333B2 (en) | 2018-02-22 | 2021-09-07 | Micromaterials, LLC | Method for depositing and reflow of a high quality etch resistant gapfill dielectric film |
| JP7239598B2 (ja) | 2018-03-09 | 2023-03-14 | アプライド マテリアルズ インコーポレイテッド | 金属含有材料の高圧アニーリングプロセス |
| US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
| TW201946112A (zh) * | 2018-04-24 | 2019-12-01 | 美商應用材料股份有限公司 | 移除高深寬比結構中的ⅲ-v材料的方法 |
| US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
| US10790183B2 (en) * | 2018-06-05 | 2020-09-29 | Applied Materials, Inc. | Selective oxidation for 3D device isolation |
| US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
| US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
| US10510871B1 (en) | 2018-08-16 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
| US10573755B1 (en) | 2018-09-12 | 2020-02-25 | International Business Machines Corporation | Nanosheet FET with box isolation on substrate |
| JP7179172B6 (ja) | 2018-10-30 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 半導体用途の構造体をエッチングするための方法 |
| KR20210077779A (ko) | 2018-11-16 | 2021-06-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 강화된 확산 프로세스를 사용한 막 증착 |
| WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
| US11011626B2 (en) | 2019-05-07 | 2021-05-18 | International Business Machines Corporation | Fin field-effect transistor with reduced parasitic capacitance and reduced variability |
| TW202129061A (zh) * | 2019-10-02 | 2021-08-01 | 美商應用材料股份有限公司 | 環繞式閘極輸入/輸出工程 |
| TWI805947B (zh) * | 2019-10-21 | 2023-06-21 | 美商應用材料股份有限公司 | 水平gaa奈米線及奈米平板電晶體 |
| US11417764B2 (en) | 2020-01-29 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interface profile control in epitaxial structures for semiconductor devices |
| US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
| US11508828B2 (en) * | 2020-07-06 | 2022-11-22 | Applied Materials, Inc. | Selective silicon etch for gate all around transistors |
| US11791155B2 (en) * | 2020-08-27 | 2023-10-17 | Applied Materials, Inc. | Diffusion barriers for germanium |
| US11502169B2 (en) * | 2020-12-21 | 2022-11-15 | International Business Machines Corporation | Nanosheet semiconductor devices with n/p boundary structure |
| US11735483B2 (en) * | 2021-02-26 | 2023-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming epitaxial source/drain features using a self-aligned mask and semiconductor devices fabricated thereof |
| US12456647B2 (en) | 2021-12-03 | 2025-10-28 | Samsung Electronics Co., Ltd. | Nanosheet transistor devices and related fabrication methods |
| US12262559B2 (en) | 2022-04-07 | 2025-03-25 | Applied Materials, Inc. | Monolithic complementary field-effect transistors having carbon-doped release layers |
| KR20250105400A (ko) * | 2022-10-27 | 2025-07-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 격리형 스트레인드 게이트-올-어라운드 전계 효과 트랜지스터 |
Citations (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| CN104347502A (zh) * | 2013-08-02 | 2015-02-11 | 台湾积体电路制造股份有限公司 | 半导体器件及其制造方法 |
Family Cites Families (59)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| DE69409780T2 (de) | 1993-12-20 | 1998-11-12 | Nippon Electric Co | Verfahren zur Herstellung opto-elektrischer Halbleiterbauelemente |
| US6830976B2 (en) * | 2001-03-02 | 2004-12-14 | Amberwave Systems Corproation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
| US6703688B1 (en) * | 2001-03-02 | 2004-03-09 | Amberwave Systems Corporation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
| US7049660B2 (en) | 2003-05-30 | 2006-05-23 | International Business Machines Corporation | High-quality SGOI by oxidation near the alloy melting temperature |
| US7202494B2 (en) * | 2003-06-26 | 2007-04-10 | Rj Mears, Llc | FINFET including a superlattice |
| US20050012087A1 (en) * | 2003-07-15 | 2005-01-20 | Yi-Ming Sheu | Self-aligned MOSFET having an oxide region below the channel |
| US7271444B2 (en) * | 2003-12-11 | 2007-09-18 | International Business Machines Corporation | Wrap-around gate field effect transistor |
| US7229901B2 (en) * | 2004-12-16 | 2007-06-12 | Wisconsin Alumni Research Foundation | Fabrication of strained heterojunction structures |
| US7271043B2 (en) * | 2005-01-18 | 2007-09-18 | International Business Machines Corporation | Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels |
| US7220626B2 (en) * | 2005-01-28 | 2007-05-22 | International Business Machines Corporation | Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels |
| WO2007022359A2 (en) * | 2005-08-16 | 2007-02-22 | The Regents Of The University Of California | Vertical integrated silicon nanowire field effect transistors and methods of fabrication |
| JP2007123827A (ja) | 2005-09-30 | 2007-05-17 | Seiko Epson Corp | 半導体装置および半導体装置の製造方法 |
| US7442599B2 (en) | 2006-09-15 | 2008-10-28 | Sharp Laboratories Of America, Inc. | Silicon/germanium superlattice thermal sensor |
| US20080135949A1 (en) * | 2006-12-08 | 2008-06-12 | Agency For Science, Technology And Research | Stacked silicon-germanium nanowire structure and method of forming the same |
| US7902584B2 (en) * | 2007-04-16 | 2011-03-08 | Kabushiki Kaisha Toshiba | Semiconductor memory device and manufacturing method thereof |
| US7812339B2 (en) * | 2007-04-23 | 2010-10-12 | Mears Technologies, Inc. | Method for making a semiconductor device including shallow trench isolation (STI) regions with maskless superlattice deposition following STI formation and related structures |
| US8058692B2 (en) * | 2008-12-29 | 2011-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple-gate transistors with reverse T-shaped fins |
| US8080820B2 (en) * | 2009-03-16 | 2011-12-20 | Intel Corporation | Apparatus and methods for improving parallel conduction in a quantum well device |
| US20110240121A1 (en) * | 2010-04-02 | 2011-10-06 | Iowa State University Research Foundation, Inc. | Nanocrystalline Superlattice Solar Cell |
| US8633076B2 (en) | 2010-11-23 | 2014-01-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for adjusting fin width in integrated circuitry |
| CN112563315A (zh) * | 2011-12-23 | 2021-03-26 | 索尼公司 | 半导体器件和集成电路结构 |
| US9012284B2 (en) * | 2011-12-23 | 2015-04-21 | Intel Corporation | Nanowire transistor devices and forming techniques |
| US8994002B2 (en) * | 2012-03-16 | 2015-03-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET having superlattice stressor |
| US20130285117A1 (en) * | 2012-04-27 | 2013-10-31 | International Business Machines Corporation | CMOS WITH SiGe CHANNEL PFETs AND METHOD OF FABRICATION |
| US8735869B2 (en) * | 2012-09-27 | 2014-05-27 | Intel Corporation | Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates |
| US8697501B1 (en) * | 2012-12-04 | 2014-04-15 | Globalfoundries Inc. | Semiconductor device having a gate formed on a uniform surface and method for forming the same |
| US9202917B2 (en) | 2013-07-29 | 2015-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Buried SiGe oxide FinFET scheme for device enhancement |
| JP5826779B2 (ja) * | 2013-02-27 | 2015-12-02 | 株式会社東芝 | 不揮発性半導体記憶装置 |
| US9978650B2 (en) * | 2013-03-13 | 2018-05-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transistor channel |
| US8975125B2 (en) * | 2013-03-14 | 2015-03-10 | International Business Machines Corporation | Formation of bulk SiGe fin with dielectric isolation by anodization |
| US20140374838A1 (en) * | 2013-06-21 | 2014-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with Nitride Liners and Methods of Forming the Same |
| US9035277B2 (en) * | 2013-08-01 | 2015-05-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and fabricating the same |
| US20150069327A1 (en) * | 2013-09-11 | 2015-03-12 | International Business Machines Corporation | Fin field-effect transistors with superlattice channels |
| CN106104805B (zh) * | 2013-11-22 | 2020-06-16 | 阿托梅拉公司 | 包括超晶格穿通停止层堆叠的垂直半导体装置和相关方法 |
| CN105900241B (zh) * | 2013-11-22 | 2020-07-24 | 阿托梅拉公司 | 包括超晶格耗尽层堆叠的半导体装置和相关方法 |
| KR102449103B1 (ko) | 2014-03-12 | 2022-09-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 반도체 챔버에서의 웨이퍼 회전 |
| US9425260B2 (en) * | 2014-03-13 | 2016-08-23 | International Business Machines Corporation | Application of super lattice films on insulator to lateral bipolar transistors |
| US9601576B2 (en) * | 2014-04-18 | 2017-03-21 | International Business Machines Corporation | Nanowire FET with tensile channel stressor |
| US9379218B2 (en) * | 2014-04-25 | 2016-06-28 | International Business Machines Corporation | Fin formation in fin field effect transistors |
| US9673277B2 (en) * | 2014-10-20 | 2017-06-06 | Applied Materials, Inc. | Methods and apparatus for forming horizontal gate all around device structures |
| US9390925B1 (en) * | 2014-12-17 | 2016-07-12 | GlobalFoundries, Inc. | Silicon—germanium (SiGe) fin formation |
| US10573719B2 (en) * | 2015-05-11 | 2020-02-25 | Applied Materials, Inc. | Horizontal gate all around device isolation |
| US9460920B1 (en) * | 2015-05-11 | 2016-10-04 | Applied Materials, Inc. | Horizontal gate all around device isolation |
| TWI825991B (zh) * | 2015-05-11 | 2023-12-11 | 美商應用材料股份有限公司 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
| US9362179B1 (en) * | 2015-06-22 | 2016-06-07 | International Business Machines Corporation | Method to form dual channel semiconductor material fins |
| US9484406B1 (en) * | 2015-09-03 | 2016-11-01 | Applied Materials, Inc. | Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications |
| US9748404B1 (en) * | 2016-02-29 | 2017-08-29 | International Business Machines Corporation | Method for fabricating a semiconductor device including gate-to-bulk substrate isolation |
| US9704995B1 (en) * | 2016-09-20 | 2017-07-11 | Advanced Micro Devices, Inc. | Gate all around device architecture with local oxide |
| US10170618B2 (en) * | 2017-03-02 | 2019-01-01 | International Business Machines Corporation | Vertical transistor with reduced gate-induced-drain-leakage current |
| US20180261686A1 (en) * | 2017-03-13 | 2018-09-13 | Applied Materials, Inc. | Transistor sidewall formation process |
| US10090410B1 (en) * | 2017-03-17 | 2018-10-02 | International Business Machines Corporation | Forming a combination of long channel devices and vertical transport fin field effect transistors on the same substrate |
| US10347581B2 (en) * | 2017-03-22 | 2019-07-09 | International Business Machines Corporation | Contact formation in semiconductor devices |
| US9871140B1 (en) * | 2017-03-31 | 2018-01-16 | International Business Machines Corporation | Dual strained nanosheet CMOS and methods for fabricating |
| US10680106B2 (en) * | 2017-11-15 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming source/drain epitaxial stacks |
| US10374089B2 (en) * | 2017-12-22 | 2019-08-06 | International Business Machines Corporation | Tensile strain in NFET channel |
| US10886182B2 (en) * | 2018-07-31 | 2021-01-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
| US11335604B2 (en) * | 2018-10-31 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
| US10672872B1 (en) * | 2019-02-13 | 2020-06-02 | International Business Machines Corporation | Self-aligned base contacts for vertical fin-type bipolar junction transistors |
| US10910273B2 (en) * | 2019-02-25 | 2021-02-02 | International Business Machines Corporation | Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer |
-
2016
- 2016-05-06 TW TW111134672A patent/TWI825991B/zh active
- 2016-05-06 TW TW112100169A patent/TWI826223B/zh active
- 2016-05-06 TW TW110108427A patent/TWI791199B/zh active
- 2016-05-06 TW TW105114153A patent/TWI723993B/zh active
- 2016-05-10 KR KR1020160057199A patent/KR102509036B1/ko active Active
- 2016-05-11 CN CN202110148577.7A patent/CN113161421B/zh active Active
- 2016-05-11 US US15/152,273 patent/US9865735B2/en active Active
- 2016-05-11 CN CN201610309125.1A patent/CN106158722B/zh active Active
-
2017
- 2017-11-06 US US15/804,691 patent/US10490666B2/en active Active
-
2019
- 2019-10-03 US US16/592,362 patent/US11145761B2/en active Active
-
2023
- 2023-03-06 KR KR1020230029131A patent/KR102670456B1/ko active Active
-
2024
- 2024-05-23 KR KR1020240067139A patent/KR102825860B1/ko active Active
Patent Citations (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| CN104347502A (zh) * | 2013-08-02 | 2015-02-11 | 台湾积体电路制造股份有限公司 | 半导体器件及其制造方法 |
Also Published As
| Publication number | Publication date |
|---|---|
| KR20160132776A (ko) | 2016-11-21 |
| TW201701478A (zh) | 2017-01-01 |
| US11145761B2 (en) | 2021-10-12 |
| KR20230038440A (ko) | 2023-03-20 |
| US10490666B2 (en) | 2019-11-26 |
| US9865735B2 (en) | 2018-01-09 |
| TW202322383A (zh) | 2023-06-01 |
| US20160336405A1 (en) | 2016-11-17 |
| TWI723993B (zh) | 2021-04-11 |
| KR102670456B1 (ko) | 2024-05-28 |
| KR102509036B1 (ko) | 2023-03-09 |
| CN113161421B (zh) | 2025-05-23 |
| TWI826223B (zh) | 2023-12-11 |
| TW202137545A (zh) | 2021-10-01 |
| CN106158722A (zh) | 2016-11-23 |
| US20200035822A1 (en) | 2020-01-30 |
| US20180061978A1 (en) | 2018-03-01 |
| CN113161421A (zh) | 2021-07-23 |
| KR20240082287A (ko) | 2024-06-10 |
| TW202306149A (zh) | 2023-02-01 |
| TWI791199B (zh) | 2023-02-01 |
| KR102825860B1 (ko) | 2025-06-25 |
| CN106158722B (zh) | 2021-02-26 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| TWI825991B (zh) | 水平環繞式閘極與鰭式場效電晶體元件的隔離 | |
| US10790183B2 (en) | Selective oxidation for 3D device isolation | |
| US10269571B2 (en) | Methods for fabricating nanowire for semiconductor applications | |
| US9673277B2 (en) | Methods and apparatus for forming horizontal gate all around device structures | |
| US8790991B2 (en) | Method and structure for shallow trench isolation to mitigate active shorts | |
| US11164959B2 (en) | VFET devices with ILD protection | |
| US9460920B1 (en) | Horizontal gate all around device isolation | |
| TWI604562B (zh) | 選擇性氮化方法 | |
| US9812367B2 (en) | Method for fabricating semiconductor device including replacement process of forming at least one metal gate structure | |
| CN104347502A (zh) | 半导体器件及其制造方法 | |
| US10573719B2 (en) | Horizontal gate all around device isolation | |
| KR20210129243A (ko) | 금속 갭필을 형성하기 위한 방법 | |
| CN103545185A (zh) | 一种采用伪栅极制造半导体器件的方法 | |
| TWI585861B (zh) | 具有磊晶成長之應力引發源極與汲極區之金氧半導體裝置的製造方法 | |
| US20250393246A1 (en) | Semiconductor device with source/drain pattern having different germanium concentrations | |
| TW202510108A (zh) | 用於背面供電之隔離模組 | |
| TW202533665A (zh) | 雙通道單一內閘極三維(3d)動態隨機存取記憶體(dram)元件 |