[go: up one dir, main page]

TWI882699B - Semiconductor device and method for forming the same - Google Patents

Semiconductor device and method for forming the same Download PDF

Info

Publication number
TWI882699B
TWI882699B TW113106482A TW113106482A TWI882699B TW I882699 B TWI882699 B TW I882699B TW 113106482 A TW113106482 A TW 113106482A TW 113106482 A TW113106482 A TW 113106482A TW I882699 B TWI882699 B TW I882699B
Authority
TW
Taiwan
Prior art keywords
region
electrode
layer
gate
dielectric layer
Prior art date
Application number
TW113106482A
Other languages
Chinese (zh)
Other versions
TW202535167A (en
Inventor
李文山
李宗曄
陳富信
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW113106482A priority Critical patent/TWI882699B/en
Application granted granted Critical
Publication of TWI882699B publication Critical patent/TWI882699B/en
Publication of TW202535167A publication Critical patent/TW202535167A/en

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)

Abstract

A semiconductor device and a method for forming the same are provided. The semiconductor device includes a silicon carbide substrate, an epitaxial layer, a first electrode, a separated conductive structure and a source metal layer. The silicon carbide substrate has a first region and has a first conductivity type. The epitaxial layer is disposed on a top surface of the silicon carbide substrate. The epitaxial layer has a first conductivity type. The first electrode is disposed in the epitaxial layer in the first region and extends along the first direction. The separated conductive structure is disposed in the epitaxial layer in the first region. The separated conductive structure includes a first conductive feature and a second conductive feature separated from each other. The first conductive feature and the second conductive feature are located on opposite sidewalls of the first electrode. The source metal layer is disposed on the epitaxial layer in the first region. The source metal layer covers and is electrically connected to the first conductive feature, the second conductive feature and the first electrode.

Description

半導體裝置及其形成方法Semiconductor device and method of forming the same

本發明是關於半導體裝置及其形成方法,特別是關於蕭特基二極體(Schottky diode)的功率電晶體裝置及其形成方法。The present invention relates to a semiconductor device and a method for forming the same, and in particular to a power transistor device of a Schottky diode and a method for forming the same.

半導體產業持續地改善不同的電子組件之整合密度,藉由持續降低最小元件尺寸,讓更多組件能夠在給定的面積中整合。例如,被廣泛地應用在電力開關(power switch)元件之溝槽式閘極金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field effect transistor;MOSFET),便是利用垂直結構的設計,降低單元間距(cell pitch)以提升功能密度,其利用晶片之背面做為汲極,而於晶片之正面製作多個電晶體的源極以及閘極,因此驅動電流由平面方向的流動發展為垂直方向的流動,如此也可以使半導體裝置達到高反向耐壓與低導通電阻。The semiconductor industry continues to improve the integration density of different electronic components by continuously reducing the minimum component size so that more components can be integrated in a given area. For example, the trench gate metal-oxide-semiconductor field effect transistor (MOSFET), which is widely used in power switch components, uses a vertical structure design to reduce the cell pitch to improve functional density. It uses the back of the chip as the drain, and the source and gate of multiple transistors are made on the front of the chip. Therefore, the driving current develops from the flow in the planar direction to the flow in the vertical direction, which can also enable the semiconductor device to achieve high reverse withstand voltage and low on-resistance.

然而,隨著對半導體裝置的功能密度要求不斷提升,半導體裝置所整合的組件及其形成方法的複雜度亦跟著增加,並且有一些性能權衡折衷(trade off)的電子特性需要考量。因此,雖然現有的半導體裝置通常是合適的而且足以滿足它們的預期目的,但是它們在所有方面並不是完全令人滿意的。However, as the functional density requirements for semiconductor devices continue to increase, the complexity of the components integrated into semiconductor devices and their formation methods has also increased, and there are some electronic characteristics that trade off performance that need to be considered. Therefore, although existing semiconductor devices are generally suitable and sufficient to meet their intended purposes, they are not completely satisfactory in all aspects.

本發明一些實施例提供一種半導體裝置。半導體裝置包括碳化矽基板、磊晶層、第一電極、分離導電結構以及源極金屬層。碳化矽基板具有第一區,且具有第一導電類型。磊晶層設置於該碳化矽基板的頂面上。磊晶層具有第一導電類型。第一電極設置於第一區的磊晶層中,且沿第一方向延伸。分離導電結構設置於第一區的磊晶層中。分離導電結構包括彼此分離的第一導電部件和第二導電部件,位於第一電極的相對側壁上。源極金屬層設置於第一區的磊晶層上。源極金屬層覆蓋且電性連接第一導電部件、第二導電部件以及第一電極。Some embodiments of the present invention provide a semiconductor device. The semiconductor device includes a silicon carbide substrate, an epitaxial layer, a first electrode, a separated conductive structure, and a source metal layer. The silicon carbide substrate has a first region and has a first conductivity type. The epitaxial layer is disposed on the top surface of the silicon carbide substrate. The epitaxial layer has a first conductivity type. The first electrode is disposed in the epitaxial layer of the first region and extends along a first direction. The separated conductive structure is disposed in the epitaxial layer of the first region. The separated conductive structure includes a first conductive component and a second conductive component separated from each other and located on opposite side walls of the first electrode. The source metal layer is disposed on the epitaxial layer of the first region. The source metal layer covers and electrically connects the first conductive component, the second conductive component and the first electrode.

本發明一些實施例提供一種半導體裝置的形成方法。半導體裝置的形成方法包括提供碳化矽基板,碳化矽基板具有第一區,且具有第一導電類型;沿第一方向在第一區的磊晶層中形成第一溝槽;於第一溝槽中形成第一電極,其中第一電極沿第一方向延伸;於第一電極的相對側壁上形成分離導電結構,其中分離導電結構包括彼此分離的第一導電部件和第二導電部件;全面性形成層間介電層;移除第一區的磊晶層的頂面上的層間介電層,使第一導電部件和第二導電部件的至少一個以及第一電極從剩餘的層間介電層暴露出來;以及於第一區的磊晶層上形成源極金屬層,其中源極金屬層覆蓋且電性連接第一電極、第一導電部件以及第二導電部件。Some embodiments of the present invention provide a method for forming a semiconductor device. The method for forming a semiconductor device includes providing a silicon carbide substrate, the silicon carbide substrate having a first region and a first conductivity type; forming a first trench in an epitaxial layer of the first region along a first direction; forming a first electrode in the first trench, wherein the first electrode extends along the first direction; forming a separated conductive structure on opposite side walls of the first electrode, wherein the separated conductive structure includes first conductive components separated from each other. and a second conductive component; forming an interlayer dielectric layer throughout; removing the interlayer dielectric layer on the top surface of the epitaxial layer in the first region to expose at least one of the first conductive component and the second conductive component and the first electrode from the remaining interlayer dielectric layer; and forming a source metal layer on the epitaxial layer in the first region, wherein the source metal layer covers and electrically connects the first electrode, the first conductive component and the second conductive component.

以下參照本發明實施例之圖式以更全面地闡述本揭露。然而,本揭露亦可以各種不同的實施方式實現,而不應限於本文中所述之實施例。圖式中的層與區域的厚度可能會為了清楚起見而放大,並且在各圖式中相同或相似之參考號碼表示相同或相似之元件。可以理解的是,在方法的前、中、後可以提供額外的步驟,且一些敘述的步驟可為了該方法的其他實施例被取代或刪除。The present disclosure is more fully described below with reference to the drawings of embodiments of the present invention. However, the present disclosure may be implemented in a variety of different embodiments and should not be limited to the embodiments described herein. The thickness of layers and regions in the drawings may be exaggerated for clarity, and the same or similar reference numbers in the various drawings represent the same or similar elements. It is understood that additional steps may be provided before, during, or after the method, and some of the described steps may be replaced or deleted for other embodiments of the method.

以下提供了各種不同的實施例或範例,用於實施所提供的半導體結構之不同元件。敘述中若提及第一部件形成於第二部件之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中使用重複的元件符號。這些重複僅是為了簡化和清楚的目的,而非代表所討論各種實施例及/或配置之間有特定的關係。Various different embodiments or examples are provided below for implementing different elements of the provided semiconductor structure. When a first component is formed on a second component, the description may include embodiments in which the first and second components are directly in contact with each other, and may also include embodiments in which additional components are formed between the first and second components so that the first and second components are not in direct contact with each other. In addition, the embodiments of the present invention may use repeated component symbols in many examples. Such repetition is only for the purpose of simplification and clarity, and does not represent a specific relationship between the various embodiments and/or configurations discussed.

再者,在以下敘述中可使用空間上相關措辭,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」和其他類似的用語,以簡化一元件或部件與其他元件或其他部件之間如圖所示之關係的陳述。此空間相關措辭除了包含圖式所描繪之方向,還包含裝置在使用或操作中的不同方位。裝置可以朝其他方向定位(旋轉90度或在其他方向),且在此使用的空間相關描述可依此相應地解讀。Furthermore, spatially relative terms such as "below", "beneath", "below", "above", "upper" and other similar terms may be used in the following description to simplify the description of the relationship between one element or component and other elements or components as shown in the figures. Such spatially relative terms include different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be oriented in other orientations (rotated 90 degrees or at other orientations), and the spatially relative descriptions used herein should be interpreted accordingly.

在隔離閘極溝槽式金氧半場效電晶體(shielded gate trench MOSFET,SGT MOSFET)單元陣列中,可藉由蕭特基二極體(Schottky diode)的設置,將流經隔離閘極溝槽式金氧半場效電晶體(SGT MOSFET)的反向電流旁路(bypass)至蕭特基二極體,以改善半導體裝置的開關特性。然而,習知的蕭特基二極體的順向壓降(V F)仍需進一步降低。因此,有必要尋求隔離閘極溝槽式金氧半場效電晶體之半導體裝置及其形成方法,其能夠解決或改善上述的問題。 In a shielded gate trench MOSFET (SGT MOSFET) cell array, a Schottky diode can be provided to bypass the reverse current flowing through the SGT MOSFET to the Schottky diode to improve the switching characteristics of the semiconductor device. However, the forward voltage drop (V F ) of the known Schottky diode still needs to be further reduced. Therefore, it is necessary to seek a semiconductor device of a shielded gate trench MOSFET and a method for forming the same, which can solve or improve the above-mentioned problems.

第1A、1B圖為本發明一些實施例之半導體裝置500的剖面示意圖。詳細來說,第1A圖為位於本發明一些實施例之半導體裝置500的不同區域的剖面示意圖。第1B圖為本發明一些實施例之半導體裝置500(第1A圖)的晶胞區(cell region)與溝槽式蕭特基二極體區(Trench MOS Barrier Schottky (TMBS) region)的剖面示意圖,其顯示晶胞區(cell region)與溝槽式蕭特基二極體區(Trench MOS Barrier Schottky (TMBS) region)的邊界處的元件配置。在一些實施例中,半導體裝置500包括功率金氧半場效應電晶體(power MOSFET)以及蕭特基二極體,例如為與溝槽式蕭特基二極體區整合且具有分離式閘極結構(split-gate structure)的隔離閘極溝槽式金氧半場效電晶體(SGT MOSFET)。如第1A、1B圖所示,半導體裝置500包括碳化矽(SiC)基板100、磊晶層200、電極220F1、電極220F2、分離閘極結構230AG、分離導電結構230BG以及源極金屬層254S。在第1圖和後續圖式中,方向300、310為實質平行於碳化矽基板100的頂面100T的方向,也可視為橫向方向;方向320為實質垂直於碳化矽基板100的頂面100T的方向,也可視為縱向方向(或可視為通道長度方向)。並且,方向300垂直於方向310、320,方向310垂直於方向300、320,方向320(也可視為通道寬度方向)垂直於方向300、310。FIG. 1A and FIG. 1B are cross-sectional schematic diagrams of a semiconductor device 500 according to some embodiments of the present invention. Specifically, FIG. 1A is a cross-sectional schematic diagram of different regions of the semiconductor device 500 according to some embodiments of the present invention. FIG. 1B is a cross-sectional schematic diagram of a cell region and a trench MOS barrier Schottky (TMBS) region of the semiconductor device 500 (FIG. 1A) according to some embodiments of the present invention, showing the device configuration at the boundary between the cell region and the trench MOS barrier Schottky (TMBS) region. In some embodiments, the semiconductor device 500 includes a power MOSFET and a Schottky diode, such as an isolated-gate trench MOSFET (SGT MOSFET) integrated with a trench Schottky diode region and having a split-gate structure. As shown in FIGS. 1A and 1B , the semiconductor device 500 includes a silicon carbide (SiC) substrate 100, an epitaxial layer 200, an electrode 220F1, an electrode 220F2, a split gate structure 230AG, a split conductive structure 230BG, and a source metal layer 254S. In FIG. 1 and subsequent figures, directions 300 and 310 are substantially parallel to the top surface 100T of the silicon carbide substrate 100, and can also be regarded as lateral directions; direction 320 is substantially perpendicular to the top surface 100T of the silicon carbide substrate 100, and can also be regarded as a longitudinal direction (or can be regarded as a channel length direction). In addition, direction 300 is perpendicular to directions 310 and 320, direction 310 is perpendicular to directions 300 and 320, and direction 320 (can also be regarded as a channel width direction) is perpendicular to directions 300 and 310.

如第1A、1B圖所示,碳化矽基板100具有頂面100T和底面100B。並且,碳化矽基板100具有第一區400、第二區410、第三區420以及第四區430。在一些實施例中,第一區400可為提供功率金屬氧化物半導體場效應電晶體陣列形成於其中的晶胞區(cell region)。第二區410可為溝槽式蕭特基二極體區(TMBS region),其可與晶胞區的隔離閘極溝槽式金氧半場效電晶體單元並聯,降低半導體裝置的導通電阻,進而減少功率損失。第三區420可為提供閘極接觸形成於其上的閘極接線區(gate pickup region)。另外,第四區430可為終端區(termination region),其用以圍繞晶胞區,以做為晶胞區中的摻雜區的緩衝區,避免晶胞區邊界處的元件崩潰電壓急遽下降。在下文的實施例中,在晶胞區(第一區400)中,以兩個隔離閘極溝槽式金氧半場效電晶體單元的結構進行說明。在溝槽式蕭特基二極體區(第二區410)、閘極接線區(第三區420)及終端區(第四區430)中,以一個溝槽電極(例如源極電極)的結構進行說明。然而,可在晶胞區、閘極接線區以及終端區中設置任意數量的隔離閘極溝槽式金氧半場效電晶體單元以及溝槽電極,不限於本文中所述之實施例。As shown in FIGS. 1A and 1B, the silicon carbide substrate 100 has a top surface 100T and a bottom surface 100B. In addition, the silicon carbide substrate 100 has a first region 400, a second region 410, a third region 420, and a fourth region 430. In some embodiments, the first region 400 may be a cell region in which a power metal oxide semiconductor field effect transistor array is formed. The second region 410 may be a trench Schottky diode region (TMBS region), which may be connected in parallel with an isolation gate trench metal oxide semiconductor field effect transistor unit of the cell region to reduce the on-resistance of the semiconductor device, thereby reducing power loss. The third region 420 may be a gate pickup region in which a gate contact is formed. In addition, the fourth region 430 may be a termination region, which is used to surround the cell region and serve as a buffer region for the doped region in the cell region to prevent the device breakdown voltage at the cell region boundary from dropping sharply. In the following embodiments, in the cell region (first region 400), the structure of two isolated gate trench MOSFET units is used for illustration. In the trench Schottky diode region (second region 410), the gate connection region (third region 420) and the termination region (fourth region 430), the structure of a trench electrode (e.g., source electrode) is used for illustration. However, any number of isolated gate trench MOSFET units and trench electrodes may be disposed in the cell region, gate connection region, and terminal region, and are not limited to the embodiments described herein.

在一些實施例中,碳化矽基板100的導電類型可依設計需要為P型或N型。在本實施例中,碳化矽基板100可摻雜摻質而具有第一導電類型,例如可為N型。在垂直型溝槽式閘極金屬氧化物半導體場效電晶體(vertical trench-gate MOSFET)的應用中,具有第一導電類型的碳化矽基板100可作為最終半導體裝置500的汲極區域(drain region)。In some embodiments, the conductivity type of the silicon carbide substrate 100 can be P-type or N-type according to design requirements. In this embodiment, the silicon carbide substrate 100 can be doped to have a first conductivity type, such as N-type. In the application of vertical trench-gate MOSFET, the silicon carbide substrate 100 with the first conductivity type can be used as a drain region of the final semiconductor device 500.

磊晶層200設置於碳化矽基板100的頂面100T上。在一些實施例中,磊晶層200可摻雜摻質而具有第一導電類型。舉例來說,當碳化矽基板100為N型碳化矽基板100時,磊晶層200為N型磊晶層200。並且,磊晶層200的摻雜濃度(例如約10 15-10 16atoms/cm 3)小於碳化矽基板100的摻雜濃度(10 19-10 21atoms/cm 3)。舉例來說,當碳化矽基板100為N型重摻雜(N+)碳化矽基板100時,磊晶層200為N型輕摻雜(N-)磊晶層200。在垂直型溝槽式閘極金屬氧化物半導體場效電晶體(vertical trench-gate MOSFET)的應用中,具有第一導電類型的磊晶層200可作為最終半導體裝置500的漂移區(drift region)。在一些實施例中,磊晶層200包括碳化矽。 The epitaxial layer 200 is disposed on the top surface 100T of the silicon carbide substrate 100. In some embodiments, the epitaxial layer 200 may be doped to have a first conductivity type. For example, when the silicon carbide substrate 100 is an N-type silicon carbide substrate 100, the epitaxial layer 200 is an N-type epitaxial layer 200. In addition, the doping concentration of the epitaxial layer 200 (e.g., about 10 15 -10 16 atoms/cm 3 ) is less than the doping concentration of the silicon carbide substrate 100 (10 19 -10 21 atoms/cm 3 ). For example, when the silicon carbide substrate 100 is an N-type heavily doped (N+) silicon carbide substrate 100, the epitaxial layer 200 is an N-type lightly doped (N-) epitaxial layer 200. In the application of a vertical trench-gate MOSFET, the epitaxial layer 200 having a first conductivity type can be used as a drift region of the final semiconductor device 500. In some embodiments, the epitaxial layer 200 includes silicon carbide.

半導體裝置500的井區234位於第一區400、第三區420以及第四區430中的磊晶層200中,且接近磊晶層200的頂面200T。換句話說,溝槽式蕭特基二極體區(第二區410)的磊晶層200中不具有井區234。在一些實施例中,井區234可摻雜摻質而具有與第一導電類型相反的第二導電類型。舉例來說,當碳化矽基板100為N型碳化矽基板100時,井區234為P型井區234。並且,具有第二導電類型的上述摻質可包括鋁(Al)、硼(B) 或其他合適的摻質。在一些實施例中,井區234的摻雜濃度(例如約10 17-10 18atoms/cm 3)大於磊晶層200的摻雜濃度。在一些實施例中,可利用離子佈植製程(ion implantation process)形成井區234。在垂直型溝槽式閘極金屬氧化物半導體場效電晶體的應用中,具有第二導電類型的井區234可作為最終半導體裝置500的通道區(channel region)。 The well region 234 of the semiconductor device 500 is located in the epitaxial layer 200 in the first region 400, the third region 420, and the fourth region 430, and is close to the top surface 200T of the epitaxial layer 200. In other words, the epitaxial layer 200 of the trench Schottky diode region (the second region 410) does not have the well region 234. In some embodiments, the well region 234 may be doped with a dopant to have a second conductivity type opposite to the first conductivity type. For example, when the silicon carbide substrate 100 is an N-type silicon carbide substrate 100, the well region 234 is a P-type well region 234. In addition, the above-mentioned dopant having the second conductivity type may include aluminum (Al), boron (B) or other suitable dopants. In some embodiments, the doping concentration of the well region 234 is greater than the doping concentration of the epitaxial layer 200 (e.g., about 10 17 -10 18 atoms/cm 3 ). In some embodiments, the well region 234 may be formed by an ion implantation process. In the application of the vertical trench gate metal oxide semiconductor field effect transistor, the well region 234 having the second conductivity type may serve as a channel region of the final semiconductor device 500.

半導體裝置500的源極區236位於第一區400中的井區234上,且接近於磊晶層200的頂面200T。並且,第二區410第三區420以及第四區430中可不具有源極區236。如第1A、1B圖所示,源極區236被井區234包圍。在一些實施例中,源極區236可摻雜摻質而具有第一導電類型。舉例來說,當碳化矽基板100為N型碳化矽基板100時,源極區236為N型源極區236。並且,源極區236的摻雜濃度大於磊晶層200的摻雜濃度。舉例來說,當磊晶層200為N型輕摻雜(N-)磊晶層200時,源極區236為N型重摻雜(N+)源極區236。The source region 236 of the semiconductor device 500 is located on the well region 234 in the first region 400 and is close to the top surface 200T of the epitaxial layer 200. In addition, the second region 410, the third region 420, and the fourth region 430 may not have the source region 236. As shown in FIGS. 1A and 1B, the source region 236 is surrounded by the well region 234. In some embodiments, the source region 236 may be doped and have a first conductivity type. For example, when the silicon carbide substrate 100 is an N-type silicon carbide substrate 100, the source region 236 is an N-type source region 236. In addition, the doping concentration of the source region 236 is greater than the doping concentration of the epitaxial layer 200. For example, when the epitaxial layer 200 is an N-type lightly doped (N-) epitaxial layer 200 , the source region 236 is an N-type heavily doped (N+) source region 236 .

半導體裝置500更包括接線摻雜區238(另參考第16、22圖)。接線摻雜區238位於第一區400中的井區234上,且接近於磊晶層200的頂面200T。並且,第二區410、第三區420以及第四區430中可不具有接線摻雜區238。如第16圖所示,接線摻雜區238被井區234包圍。源極區236以及接線摻雜區238沿方向310彼此鄰接。並且,在一些實施例中,多個源極區236以及多個接線摻雜區238沿方向310交錯排列。源極區236以及接線摻雜區238可具有相反的導電類型。舉例來說,當源極區236具有第一導電類型時,接線摻雜區238具有第二導電類型。接線摻雜區238與井區234具有相同的導電類型,例如為P型接線摻雜區238。並且,接線摻雜區238的摻雜濃度大於井區234的摻雜濃度。舉例來說,當井區234為P型井區234時,接線摻雜區238為P型重摻雜(P+)接線摻雜區238,以做為井區234的接線摻雜區。The semiconductor device 500 further includes a wiring doping region 238 (see also FIGS. 16 and 22 ). The wiring doping region 238 is located on the well region 234 in the first region 400 and is close to the top surface 200T of the epitaxial layer 200. Furthermore, the second region 410, the third region 420, and the fourth region 430 may not have the wiring doping region 238. As shown in FIG. 16 , the wiring doping region 238 is surrounded by the well region 234. The source region 236 and the wiring doping region 238 are adjacent to each other along the direction 310. Furthermore, in some embodiments, a plurality of source regions 236 and a plurality of wiring doping regions 238 are arranged in a staggered manner along the direction 310. The source region 236 and the wiring doping region 238 may have opposite conductivity types. For example, when the source region 236 has a first conductivity type, the wiring doping region 238 has a second conductivity type. The wiring doping region 238 and the well region 234 have the same conductivity type, for example, a P-type wiring doping region 238. In addition, the doping concentration of the wiring doping region 238 is greater than the doping concentration of the well region 234. For example, when the well region 234 is a P-type well region 234 , the wiring doping region 238 is a P-type heavily doped (P+) wiring doping region 238 to serve as the wiring doping region of the well region 234 .

半導體裝置500更包括遮蔽介電層216AR、216BR、216CR、216DR以及電極220F1、220F2、220F3、220F4。如第1A、1B圖所示,遮蔽介電層216AR以及電極220F1設置於第一區400的磊晶層200中。遮蔽介電層216AR位於磊晶層200的頂面200T的下方。電極220F1於遮蔽介電層216AR上,且遮蔽介電層216AR覆蓋電極220F1的底面及相對側壁。如第1A、1B圖所示,電極220F1沿方向320朝磊晶層200的頂面200T及碳化矽基板100延伸。在一些實施例中,在方向300中,電極220F1的上部(包括頂部220F1-1)的寬度W1小於電極220F1的下部的寬度W2。The semiconductor device 500 further includes shielding dielectric layers 216AR, 216BR, 216CR, 216DR and electrodes 220F1, 220F2, 220F3, 220F4. As shown in FIGS. 1A and 1B, the shielding dielectric layer 216AR and the electrode 220F1 are disposed in the epitaxial layer 200 of the first region 400. The shielding dielectric layer 216AR is located below the top surface 200T of the epitaxial layer 200. The electrode 220F1 is on the shielding dielectric layer 216AR, and the shielding dielectric layer 216AR covers the bottom surface and the opposite sidewalls of the electrode 220F1. As shown in FIGS. 1A and 1B , the electrode 220F1 extends along a direction 320 toward the top surface 200T of the epitaxial layer 200 and the silicon carbide substrate 100. In some embodiments, in the direction 300, a width W1 of an upper portion (including the top portion 220F1-1) of the electrode 220F1 is smaller than a width W2 of a lower portion of the electrode 220F1.

如第1A圖所示,遮蔽介電層216BR以及電極220F2設置於第二區410的磊晶層200中。電極220F2從接近磊晶層200的頂面200T的位置沿方向320朝碳化矽基板100延伸。電極220F2位於遮蔽介電層216BR上,且遮蔽介電層216BR覆蓋電極220F2的底面及相對側壁。在一些實施例中,在方向300中,電極220F2的上部的寬度W3小於電極220F2的下部的寬度W4。在一些實施例中,寬度W1可等於寬度W3,寬度W2可等於寬度W4。As shown in FIG. 1A , the shielding dielectric layer 216BR and the electrode 220F2 are disposed in the epitaxial layer 200 in the second region 410. The electrode 220F2 extends from a position close to the top surface 200T of the epitaxial layer 200 toward the silicon carbide substrate 100 along the direction 320. The electrode 220F2 is located on the shielding dielectric layer 216BR, and the shielding dielectric layer 216BR covers the bottom surface and the opposite sidewalls of the electrode 220F2. In some embodiments, in the direction 300, the width W3 of the upper portion of the electrode 220F2 is smaller than the width W4 of the lower portion of the electrode 220F2. In some embodiments, width W1 may be equal to width W3, and width W2 may be equal to width W4.

如第1A圖所示,遮蔽介電層216CR以及電極220F3設置於第三區420的磊晶層200中。電極220F3從接近磊晶層200的頂面200T的位置沿方向320朝碳化矽基板100延伸。電極220F3位於遮蔽介電層216CR上,且遮蔽介電層216CR覆蓋電極220F3的底面及相對側壁。在一些實施例中,在方向300中,電極220F3的上部的寬度W5小於電極220F3的下部的寬度W6。在一些實施例中,寬度W5可等於寬度W1、W3,寬度W6可等於寬度W2、W4。As shown in FIG. 1A , the shielding dielectric layer 216CR and the electrode 220F3 are disposed in the epitaxial layer 200 in the third region 420. The electrode 220F3 extends from a position close to the top surface 200T of the epitaxial layer 200 toward the silicon carbide substrate 100 along the direction 320. The electrode 220F3 is located on the shielding dielectric layer 216CR, and the shielding dielectric layer 216CR covers the bottom surface and the opposite sidewalls of the electrode 220F3. In some embodiments, in the direction 300, the width W5 of the upper portion of the electrode 220F3 is smaller than the width W6 of the lower portion of the electrode 220F3. In some embodiments, width W5 may be equal to widths W1 and W3, and width W6 may be equal to widths W2 and W4.

如第1A圖所示,遮蔽介電層216DR以及電極220F4設置於第四區430的磊晶層200中。第四電極220F4從接近磊晶層200的頂面200T的位置沿方向320朝碳化矽基板100延伸。電極220F4位於遮蔽介電層216DR上,且遮蔽介電層216DR覆蓋電極220F4的底面及相對側壁。在一些實施例中,在方向300中,電極220F4具有均一的寬度W7。在一些實施例中,寬度W7可等於寬度W1、W3、W5。As shown in FIG. 1A , the shielding dielectric layer 216DR and the electrode 220F4 are disposed in the epitaxial layer 200 in the fourth region 430. The fourth electrode 220F4 extends from a position close to the top surface 200T of the epitaxial layer 200 toward the silicon carbide substrate 100 along the direction 320. The electrode 220F4 is located on the shielding dielectric layer 216DR, and the shielding dielectric layer 216DR covers the bottom surface and the opposite sidewalls of the electrode 220F4. In some embodiments, in the direction 300, the electrode 220F4 has a uniform width W7. In some embodiments, the width W7 may be equal to the widths W1, W3, and W5.

在一些實施例中,遮蔽介電層216AR、216BR、216CR、216DR可包括相同的材料。舉例來說,遮蔽介電層216AR、216BR、216CR、216DR可包括氧化矽、其他適合的半導體氧化物材料、或上述之組合。在一些實施例中,可使用順應性(conformably)沉積製程、氧化製程(oxidation process)、其他適合的形成製程形成遮蔽介電層216AR、216BR、216CR、216DR。在一些實施例中,氧化製程可以為熱氧化法(thermal oxidation)、或是其他合適的製程。在一些實施例中,沉積製程可以為物理氣相沉積(physical vapor deposition,PVD)製程、化學氣相沉積(CVD)製程、電漿輔助化學氣相沉積法(PECVD)、其他合適的製程、或上述之組合。In some embodiments, the shielding dielectric layers 216AR, 216BR, 216CR, 216DR may include the same material. For example, the shielding dielectric layers 216AR, 216BR, 216CR, 216DR may include silicon oxide, other suitable semiconductor oxide materials, or a combination thereof. In some embodiments, the shielding dielectric layers 216AR, 216BR, 216CR, 216DR may be formed using a conformable deposition process, an oxidation process, or other suitable formation processes. In some embodiments, the oxidation process may be thermal oxidation, or other suitable processes. In some embodiments, the deposition process may be a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process, a plasma assisted chemical vapor deposition (PECVD), other suitable processes, or a combination thereof.

在一些實施例中,電極220F1、220F2、220F3、220F4可選擇性包括第二導電類型的摻質。舉例來說,當碳化矽基板100為N型碳化矽基板100時,電極220F1、220F2、220F3、220F4分別為P型電極220F1、P型電極220F2、P型電極220F3、P型電極220F4。並且,具有第二導電類型的上述摻質可包括為鋁(Al)、硼(B)、二氟化硼(BF 2)或其他合適的摻質。在一些實施例中,電極220F1、220F2、220F3、220F4電性連接至源極接觸250S1、250S2。 In some embodiments, the electrodes 220F1, 220F2, 220F3, and 220F4 may selectively include a dopant of the second conductivity type. For example, when the silicon carbide substrate 100 is an N-type silicon carbide substrate 100, the electrodes 220F1, 220F2, 220F3, and 220F4 are P-type electrodes 220F1, P-type electrodes 220F2, P-type electrodes 220F3, and P-type electrodes 220F4, respectively. Furthermore, the dopant of the second conductivity type may include aluminum (Al), boron (B), boron difluoride (BF 2 ) or other suitable dopant. In some embodiments, electrodes 220F1, 220F2, 220F3, 220F4 are electrically connected to source contacts 250S1, 250S2.

在一些實施例中,第一區400的電極220F1除了可以減少閘極對汲極電容(C gd)來改善半導體裝置500的開關特性,且其具有場板(Field Plate)功能,使接近分離閘極結構230AG底部的閘極介電層(例如第1圖所示的閘極介電層224A,將說明如後)電場分佈較為均勻並提升崩潰電壓,以提升閘極介電層的可靠度。在一些實施例中,第二區410的電極220F2也具有場板(Field Plate)功能,使磊晶層(漂移區)200的電場分佈較為均勻。藉由電極220F1、220F2的設置,可進一步提高磊晶層(漂移區)200的摻雜濃度,以降低第一區400中的隔離閘極溝槽式金氧半場效電晶體單元的導通電阻(R onsp),且降低第二區410中的溝槽式蕭特基二極體的順向電壓(V F)。 In some embodiments, the electrode 220F1 of the first region 400 can not only reduce the gate-to-drain capacitance ( Cgd ) to improve the switching characteristics of the semiconductor device 500, but also has a field plate function, so that the electric field distribution of the gate dielectric layer (such as the gate dielectric layer 224A shown in FIG. 1, which will be described below) close to the bottom of the separated gate structure 230AG is more uniform and the breakdown voltage is increased to improve the reliability of the gate dielectric layer. In some embodiments, the electrode 220F2 of the second region 410 also has a field plate function, so that the electric field distribution of the epitaxial layer (drift region) 200 is more uniform. By providing the electrodes 220F1 and 220F2, the doping concentration of the epitaxial layer (drift region) 200 can be further increased to reduce the on-resistance (R onsp ) of the isolation gate trench MOSFET unit in the first region 400 and reduce the forward voltage (V F ) of the trench Schottky diode in the second region 410 .

在第1A、1B圖所示的實施例中,兩個分離閘極結構230AG設置於第一區400的磊晶層200中,且位於電極220F1的下部的上方。上述兩個分離閘極結構230AG沿方向300藉由磊晶層200彼此隔開。並且,磊晶層200位於上述兩個分離閘極結構230AG之間的區域可視為半導體裝置500的台面(mesa)區域400M。如第1A、1B圖所示,分離閘極結構230AG沿方向320延伸。在一些實施例中,分離閘極結構230AG包括閘極介電層224AR以及沿方向300彼此分離的閘極230AG1、230AG2。在一些實施例中,分離閘極結構230AG可進一步降低整體閘極對汲極電容(C gd)以及回饋電容(C rss= C gd) ,以提高半導體裝置500的整體電能轉換效率。 In the embodiment shown in FIGS. 1A and 1B , two separate gate structures 230AG are disposed in the epitaxial layer 200 of the first region 400 and are located above the lower portion of the electrode 220F1. The two separate gate structures 230AG are separated from each other by the epitaxial layer 200 along the direction 300. Moreover, the region of the epitaxial layer 200 between the two separate gate structures 230AG can be regarded as a mesa region 400M of the semiconductor device 500. As shown in FIGS. 1A and 1B , the separate gate structure 230AG extends along the direction 320. In some embodiments, the split gate structure 230AG includes a gate dielectric layer 224AR and gates 230AG1 and 230AG2 separated from each other along the direction 300. In some embodiments, the split gate structure 230AG can further reduce the overall gate-to-drain capacitance ( Cgd ) and the feedback capacitance ( Crss = Cgd ) to improve the overall power conversion efficiency of the semiconductor device 500.

如第1A、1B圖所示,閘極介電層224AR設置於第一區400的磊晶層200中。閘極介電層224AR從接近磊晶層200的頂面200T處沿方向320延伸至磊晶層200中。電極220F1的頂部從分離閘極結構230AG的閘極介電層224AR暴露出來。As shown in FIGS. 1A and 1B , the gate dielectric layer 224AR is disposed in the epitaxial layer 200 in the first region 400. The gate dielectric layer 224AR extends from a position close to the top surface 200T of the epitaxial layer 200 into the epitaxial layer 200 along a direction 320. The top of the electrode 220F1 is exposed from the gate dielectric layer 224AR of the separation gate structure 230AG.

在一些實施例中,閘極介電層224AR可包括氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(tetraethoxysilane,TEOS)氧化物、磷矽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、摻雜氟的矽酸鹽玻璃(fluorinated silicate glass,FSG)、有機矽酸鹽玻璃(organosilicate glasses,OSG)、低介電常數介電材料、及/或其他合適的介電材料、或上述之組合。在本實施例中,閘極介電層224AR可包括氧化矽。在一些實施例中,遮蔽介電層216AR、216BR、216CR與閘極介電層224AR可依據實際需求選擇與相同或不同的材料。在一些實施例中,可利用氧化製程及沉積製程形成閘極介電層224AR。在一些實施例中,氧化製程可包括熱氧化法(thermal oxidation)或其他合適的製程。在一些實施例中,沉積製程可包括旋轉塗佈(spin-on coating)、化學氣相沉積(CVD)、原子層沉積(ALD)、低壓化學氣相沉積(LPCVD)、高密度電漿化學氣相沉積(HDPCVD)、其他合適的製程、或上述之組合。In some embodiments, the gate dielectric layer 224AR may include silicon oxide, silicon nitride, silicon oxynitride, tetraethoxysilane (TEOS) oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), borophosphosilicate glass (BPSG), undoped silicate glass (USG), fluorinated silicate glass (FSG), organosilicate glasses (OSG), low-k dielectric materials, and/or other suitable dielectric materials, or combinations thereof. In this embodiment, the gate dielectric layer 224AR may include silicon oxide. In some embodiments, the shielding dielectric layers 216AR, 216BR, 216CR and the gate dielectric layer 224AR may be made of the same or different materials according to actual needs. In some embodiments, the gate dielectric layer 224AR may be formed by an oxidation process and a deposition process. In some embodiments, the oxidation process may include thermal oxidation or other suitable processes. In some embodiments, the deposition process may include spin-on coating, chemical vapor deposition (CVD), atomic layer deposition (ALD), low pressure chemical vapor deposition (LPCVD), high density plasma chemical vapor deposition (HDPCVD), other suitable processes, or a combination thereof.

閘極230AG1、230AG2位於電極220F1的相對側壁220F1S上,且沿方向320延伸。閘極230AG1、230AG2的頂面230AG1T、230AG2T可對齊磊晶層200的頂面200T (頂面230AG1T、230AG2T、200T為共平面)。並且,閘極介電層224AR包圍閘極230AG1、230AG2。此外,電極220F1可沿方向320從閘極230AG1、230AG2的下方延伸至閘極230AG1、230AG2的頂面230AG1T、230AG2T。並且,電極220F1可沿方向300插入閘極230AG1、230AG2之間。電極220F1接近閘極230AG1、230AG2的相對側壁220F1S通過閘極介電層224AR與閘極230AG1、230AG2隔開。The gates 230AG1 and 230AG2 are located on opposite sidewalls 220F1S of the electrode 220F1 and extend along the direction 320. The top surfaces 230AG1T and 230AG2T of the gates 230AG1 and 230AG2 can be aligned with the top surface 200T of the epitaxial layer 200 (the top surfaces 230AG1T, 230AG2T, 200T are coplanar). In addition, the gate dielectric layer 224AR surrounds the gates 230AG1 and 230AG2. In addition, the electrode 220F1 may extend from below the gates 230AG1 and 230AG2 to the top surfaces 230AG1T and 230AG2T of the gates 230AG1 and 230AG2 along the direction 320. Furthermore, the electrode 220F1 may be inserted between the gates 230AG1 and 230AG2 along the direction 300. The opposite sidewalls 220F1S of the electrode 220F1 close to the gates 230AG1 and 230AG2 are separated from the gates 230AG1 and 230AG2 by the gate dielectric layer 224AR.

在一些實施例中,閘極230AG1、230AG2可為單層或多層結構,其由非晶矽、多晶矽、一或多種金屬、金屬氮化物、金屬矽化物、導電金屬氧化物、或上述之組合所形成。在一些實施例中,金屬可包含但不限於鎢(W)、鈦(Ti)、鉭(Ta)、鉑(Pt)。在一些實施例中,金屬氮化物可包括但不限於氮化鈦(TiN)以及氮化鉭(TaN)。在一些實施例中,金屬矽化物可包括但不限於矽化鎢(WSi x)。在一些實施例中,閘極230AG1、230AG2可選擇性包括第二導電類型的摻質。舉例來說,當碳化矽基板100為N型碳化矽基板100時,閘極230AG1、230AG2為P型閘極230AG1、230AG2。並且,具有第二導電類型的上述摻質可包括為鋁(Al)、硼(B)、二氟化硼(BF 2)或其他合適的摻質。在一些實施例中,電極220F1、220F2、220F3、220F4可包括與閘極230AG1、230AG2相同或不同的材料。 In some embodiments, the gates 230AG1 and 230AG2 may be a single-layer or multi-layer structure formed of amorphous silicon, polycrystalline silicon, one or more metals, metal nitrides, metal silicides, conductive metal oxides, or a combination thereof. In some embodiments, the metal may include but is not limited to tungsten (W), titanium (Ti), tantalum (Ta), and platinum (Pt). In some embodiments, the metal nitride may include but is not limited to titanium nitride (TiN) and tantalum nitride (TaN). In some embodiments, the metal silicide may include but is not limited to tungsten silicide ( WSix ). In some embodiments, the gates 230AG1 and 230AG2 may selectively include a dopant of the second conductivity type. For example, when the silicon carbide substrate 100 is an N-type silicon carbide substrate 100, the gates 230AG1 and 230AG2 are P-type gates 230AG1 and 230AG2. In addition, the dopant having the second conductivity type may include aluminum (Al), boron (B), boron difluoride ( BF2 ) or other suitable dopant. In some embodiments, the electrodes 220F1, 220F2, 220F3, and 220F4 may include the same or different materials as the gates 230AG1 and 230AG2.

如第1A、1B圖所示,分離導電結構230BG設置於第二區410的磊晶層200中。在一些實施例中,分離閘極結構230AG、分離導電結構230BG可具有類似的結構。然而,分離導電結構230BG與閘極230AG1、230AG2的至少一個不同處為:分離導電結構230BG並未被井區234包圍。舉例來說,井區234可僅鄰接分離導電結構230BG沿方向300的相對兩側的其中之一,或與分離導電結構230BG沿方向300的相對兩側不鄰接。在一些實施例中,分離導電結構230BG包括沿方向300彼此分離的導電部件230BG1、230BG2。As shown in FIGS. 1A and 1B , the separated conductive structure 230BG is disposed in the epitaxial layer 200 of the second region 410. In some embodiments, the separated gate structure 230AG and the separated conductive structure 230BG may have similar structures. However, at least one difference between the separated conductive structure 230BG and the gates 230AG1 and 230AG2 is that the separated conductive structure 230BG is not surrounded by the well region 234. For example, the well region 234 may only be adjacent to one of the two opposite sides of the separated conductive structure 230BG along the direction 300, or may not be adjacent to the two opposite sides of the separated conductive structure 230BG along the direction 300. In some embodiments, the separated conductive structure 230BG includes conductive components 230BG1 , 230BG2 separated from each other along the direction 300 .

如第1A、1B圖所示,半導體裝置500還包括介電層224BR。介電層224BR設置於第二區410的磊晶層200中。介電層224BR從接近磊晶層200的頂面200T處沿方向320延伸至磊晶層200中。電極220F2的頂面220F2T從介電層224BR的頂面224BRT暴露出來。詳細來說,電極220F2的頂面220F2T可對齊磊晶層200的頂面200T(頂面220F2T、200T為共平面)。As shown in FIGS. 1A and 1B , the semiconductor device 500 further includes a dielectric layer 224BR. The dielectric layer 224BR is disposed in the epitaxial layer 200 of the second region 410. The dielectric layer 224BR extends from a location close to the top surface 200T of the epitaxial layer 200 into the epitaxial layer 200 along a direction 320. The top surface 220F2T of the electrode 220F2 is exposed from the top surface 224BRT of the dielectric layer 224BR. In detail, the top surface 220F2T of the electrode 220F2 can be aligned with the top surface 200T of the epitaxial layer 200 (the top surfaces 220F2T and 200T are coplanar).

在一些實施例中,介電層224BR與閘極介電層224AR可包括相同或類似的材料及製程。在本實施例中,介電層224BR可包括氧化矽。在一些實施例中,遮蔽介電層216AR、216BR、216CR、閘極介電層224AR與介電層224BR可依據實際需求選擇與相同或不同的材料。在一些實施例中,介電層224BR與閘極介電層224AR可為同時形成。In some embodiments, the dielectric layer 224BR and the gate dielectric layer 224AR may include the same or similar materials and processes. In this embodiment, the dielectric layer 224BR may include silicon oxide. In some embodiments, the shielding dielectric layers 216AR, 216BR, 216CR, the gate dielectric layer 224AR and the dielectric layer 224BR may be selected to be the same or different materials according to actual needs. In some embodiments, the dielectric layer 224BR and the gate dielectric layer 224AR may be formed simultaneously.

導電部件230BG1、230BG2位於電極220F2的相對側壁220F2S上,且沿方向320延伸。導電部件230BG1、230BG2的頂面230BG1T、230BG2T可對齊磊晶層200的頂面200T以及電極220F2的頂面220F2T(頂面230BG1T、230BG2T、200T、220F2T為共平面)。介電層224BR包圍導電部件230BG1、230BG2。並且,導電部件230BG1、230BG2的頂面230BG1T、230BG2T從介電層224BR的頂面224BRT暴露出來。此外,電極220F2可沿方向320從導電部件230BG1、230BG2的下方延伸至導電部件230BG1、230BG2的頂面230BG1T、230BG2T。並且,電極220F2可沿方向300插入導電部件230BG1、230BG2之間。電極220F2接近導電部件230BG1、230BG2的相對側壁220F2S通過介電層224BR與導電部件230BG1、230BG2隔開。The conductive components 230BG1 and 230BG2 are located on opposite sidewalls 220F2S of the electrode 220F2 and extend along the direction 320. The top surfaces 230BG1T and 230BG2T of the conductive components 230BG1 and 230BG2 can be aligned with the top surface 200T of the epitaxial layer 200 and the top surface 220F2T of the electrode 220F2 (the top surfaces 230BG1T, 230BG2T, 200T, and 220F2T are coplanar). The dielectric layer 224BR surrounds the conductive components 230BG1 and 230BG2. Furthermore, the top surfaces 230BG1T and 230BG2T of the conductive components 230BG1 and 230BG2 are exposed from the top surface 224BRT of the dielectric layer 224BR. In addition, the electrode 220F2 may extend from below the conductive components 230BG1 and 230BG2 to the top surfaces 230BG1T and 230BG2T of the conductive components 230BG1 and 230BG2 along the direction 320. Furthermore, the electrode 220F2 may be inserted between the conductive components 230BG1 and 230BG2 along the direction 300. Opposite side walls 220F2S of the electrode 220F2 close to the conductive components 230BG1 and 230BG2 are separated from the conductive components 230BG1 and 230BG2 by the dielectric layer 224BR.

在一些實施例中,導電部件230BG1、230BG2可為單層或多層結構,其由非晶矽、多晶矽、一或多種金屬、金屬氮化物、金屬矽化物、導電金屬氧化物、或上述之組合所形成。在一些實施例中,金屬可包含但不限於鎢(W)、鈦(Ti)、鉭(Ta)、鉑(Pt)。在一些實施例中,金屬氮化物可包括但不限於氮化鈦(TiN)以及氮化鉭(TaN)。在一些實施例中,金屬矽化物可包括但不限於矽化鎢(WSi x)。在一些實施例中,導電部件230BG1、230BG2可選擇性包括第二導電類型的摻質。舉例來說,當碳化矽基板100為N型碳化矽基板100時,導電部件230BG1、230BG2為P型導電部件230BG1、230BG2。並且,具有第二導電類型的上述摻質可包括為鋁(Al)、硼(B)、二氟化硼(BF 2)或其他合適的摻質。在一些實施例中,電極220F1、220F2、220F2、220F4可包括與導電部件230BG1、230BG2相同或不同的材料。 In some embodiments, the conductive components 230BG1 and 230BG2 may be a single layer or multi-layer structure, which is formed by amorphous silicon, polycrystalline silicon, one or more metals, metal nitrides, metal silicides, conductive metal oxides, or combinations thereof. In some embodiments, the metal may include but is not limited to tungsten (W), titanium (Ti), tantalum (Ta), platinum (Pt). In some embodiments, the metal nitride may include but is not limited to titanium nitride (TiN) and tantalum nitride (TaN). In some embodiments, the metal silicide may include but is not limited to tungsten silicide ( WSix ). In some embodiments, the conductive components 230BG1 and 230BG2 may selectively include a dopant of the second conductivity type. For example, when the silicon carbide substrate 100 is an N-type silicon carbide substrate 100, the conductive components 230BG1 and 230BG2 are P-type conductive components 230BG1 and 230BG2. In addition, the above-mentioned dopant with the second conductivity type may include aluminum (Al), boron (B), boron difluoride ( BF2 ) or other suitable dopant. In some embodiments, the electrodes 220F1, 220F2, 220F4 may include the same or different materials as the conductive components 230BG1 and 230BG2.

半導體裝置500更包括設置於第三區420的磊晶層200中的閘極介電層224C以及閘極230G。閘極介電層224C從接近磊晶層200的頂面200T處沿方向320延伸至磊晶層200中。並且,閘極介電層224C覆蓋電極220F3的頂部。閘極230G位於閘極介電層224C上,且與分離閘極結構230AG連接。在一些實施例中,閘極230G從電極220F3的相對側壁220F3S延伸覆蓋電極220F3的頂面220F3T以及磊晶層200的頂面200T。位於電極220F3的相對側壁220F3S上的部分閘極230G可沿方向320延伸。並且,位於電極220F3的頂面220F3T以及磊晶層200的頂面200T上方的部分閘極230G可沿方向300延伸。The semiconductor device 500 further includes a gate dielectric layer 224C and a gate 230G disposed in the epitaxial layer 200 in the third region 420. The gate dielectric layer 224C extends from a position close to the top surface 200T of the epitaxial layer 200 into the epitaxial layer 200 along the direction 320. Moreover, the gate dielectric layer 224C covers the top of the electrode 220F3. The gate 230G is located on the gate dielectric layer 224C and is connected to the separated gate structure 230AG. In some embodiments, the gate 230G extends from the opposite sidewalls 220F3S of the electrode 220F3 to cover the top surface 220F3T of the electrode 220F3 and the top surface 200T of the epitaxial layer 200. The portion of the gate 230G located on the opposite sidewalls 220F3S of the electrode 220F3 may extend along the direction 320. Also, the portion of the gate 230G located above the top surface 220F3T of the electrode 220F3 and the top surface 200T of the epitaxial layer 200 may extend along the direction 300.

半導體裝置500更包括層間介電層240AR、240CR、240DR。層間介電層240AR、240CR、240DR設置於第一區400、第三區420以及第四區430的磊晶層200上。層間介電層240AR覆蓋閘極230AG1、閘極230AG2、閘極介電層224AR、接線摻雜區238以及閘極230G。層間介電層240CR覆蓋閘極介電層224C、閘極230G。層間介電層240CR覆蓋電極220F4。並且,導電部件230BG1及/或導電部件230BG2、電極220F2可從層間介電層240AR、240CR、240DR暴露出來。如第1A、1B圖所示,第二區410的磊晶層200的頂面200T上方可不被層間介電層完全覆蓋。舉例來說,層間介電層240AR可從於第一區400的磊晶層200的頂面200T延伸覆蓋接近第一區400的分離導電結構230BG的導電部件230BG1,且使電極220F2和導電部件230BG2暴露出來。如第1B圖所示,層間介電層240AR層的側壁240AS位於接近電極220F2的介電層224BR上,例如位於導電部件230BG1與電極220F2之間的介電層224BR上。並且,層間介電層240AR、240CR、240DR未覆蓋其他的分離導電結構230BG。在一些實施例中,層間介電層240AR、240CR、240DR可包括氧化矽、氮化矽、氮氧化矽、磷矽玻璃(PSG)、硼磷矽玻璃(BPSG)或上述之組合。在一些實施例中,可使用順應性(conformably)沉積製程、氧化製程(oxidation process)、其他適合的形成製程以及後續的圖案化製程形成層間介電層240AR、240CR、240DR。在一些實施例中,氧化製程可以為熱氧化法(thermal oxidation)、或是其他合適的製程。在一些實施例中,沉積製程可以為物理氣相沉積(physical vapor deposition,PVD)製程、化學氣相沉積(CVD)製程、電漿輔助化學氣相沉積法(PECVD)、其他合適的製程、或上述之組合。The semiconductor device 500 further includes interlayer dielectric layers 240AR, 240CR, and 240DR. The interlayer dielectric layers 240AR, 240CR, and 240DR are disposed on the epitaxial layer 200 in the first region 400, the third region 420, and the fourth region 430. The interlayer dielectric layer 240AR covers the gate 230AG1, the gate 230AG2, the gate dielectric layer 224AR, the wiring doped region 238, and the gate 230G. The interlayer dielectric layer 240CR covers the gate dielectric layer 224C and the gate 230G. The interlayer dielectric layer 240CR covers the electrode 220F4. Furthermore, the conductive component 230BG1 and/or the conductive component 230BG2 and the electrode 220F2 may be exposed from the interlayer dielectric layer 240AR, 240CR, 240DR. As shown in FIGS. 1A and 1B, the top surface 200T of the epitaxial layer 200 in the second region 410 may not be completely covered by the interlayer dielectric layer. For example, the interlayer dielectric layer 240AR may extend from the top surface 200T of the epitaxial layer 200 in the first region 400 to cover the conductive component 230BG1 of the separated conductive structure 230BG close to the first region 400, and expose the electrode 220F2 and the conductive component 230BG2. As shown in FIG. 1B , the sidewall 240AS of the interlayer dielectric layer 240AR is located on the dielectric layer 224BR close to the electrode 220F2, for example, on the dielectric layer 224BR between the conductive component 230BG1 and the electrode 220F2. In addition, the interlayer dielectric layers 240AR, 240CR, and 240DR do not cover other separated conductive structures 230BG. In some embodiments, the interlayer dielectric layers 240AR, 240CR, and 240DR may include silicon oxide, silicon nitride, silicon oxynitride, phospho-silicate glass (PSG), boro-phospho-silicate glass (BPSG), or a combination thereof. In some embodiments, the interlayer dielectric layers 240AR, 240CR, and 240DR may be formed using a conformable deposition process, an oxidation process, other suitable formation processes, and a subsequent patterning process. In some embodiments, the oxidation process may be a thermal oxidation process, or other suitable processes. In some embodiments, the deposition process may be a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process, a plasma assisted chemical vapor deposition (PECVD), other suitable processes, or a combination thereof.

源極接觸250S1、250S2設置於第一區400的磊晶層200上。源極接觸250S1、250S2貫穿第一區400的層間介電層240AR。並且,源極接觸250S1沿方向320延伸至部分電極220F1中,源極接觸250S2貫穿源極區236(及接線摻雜區238),並沿方向320延伸至部分井區234中。源極接觸250S1電性連接電極220F1,源極接觸250S2電性連接源極區236以及接線摻雜區238。如第1A、1B圖所示,可於分離閘極結構230AG兩側的源極區236(及接線摻雜區238)以及接線摻雜區238中(例如台面區域400M中)各設置一個源極接觸250S2,且於用以分隔閘極230AG1、230AG2的電極220F1的上部中設置一個源極接觸250S1,使源極接觸250S1夾設於兩個源極接觸250S2之間。源極接觸250S1、250S2可藉由其他內連線(圖未顯示)電性連接導電部件230BG1、230BG2以及電極220F2、220F3、220F4。並且,閘極230AG1、230AG2可藉由閘極介電層224AR與源極接觸250S1、250S2隔開。The source contacts 250S1 and 250S2 are disposed on the epitaxial layer 200 of the first region 400. The source contacts 250S1 and 250S2 penetrate the interlayer dielectric layer 240AR of the first region 400. In addition, the source contact 250S1 extends into a portion of the electrode 220F1 along the direction 320, and the source contact 250S2 penetrates the source region 236 (and the wiring doping region 238) and extends into a portion of the well region 234 along the direction 320. The source contact 250S1 is electrically connected to the electrode 220F1, and the source contact 250S2 is electrically connected to the source region 236 and the wiring doping region 238. As shown in FIGS. 1A and 1B , a source contact 250S2 may be disposed in each of the source regions 236 (and the wiring doping region 238 ) on both sides of the separation gate structure 230AG and in the wiring doping region 238 (e.g., in the mesa region 400M), and a source contact 250S1 may be disposed in the upper portion of the electrode 220F1 used to separate the gates 230AG1 and 230AG2, so that the source contact 250S1 is sandwiched between the two source contacts 250S2. The source contacts 250S1 and 250S2 may be electrically connected to the conductive components 230BG1 and 230BG2 and the electrodes 220F2, 220F3, and 220F4 via other interconnects (not shown). In addition, the gates 230AG1 and 230AG2 may be separated from the source contacts 250S1 and 250S2 by a gate dielectric layer 224AR.

在一些實施例中,源極接觸250S1可包括接觸阻障層246S以及接觸導電層248S1。源極接觸250S2可包括接觸阻障層246S以及接觸導電層248S2。在一些實施例中,源極接觸250S1、250S2為同時形成。如第1B圖所示,接觸阻障層246S可連續覆蓋第一區400、第二區410的磊晶層200的頂面200T,且延伸進入第一區400的部分磊晶層200中。接觸阻障層246S可覆蓋且物理接觸電極220F2的頂面220F2T。並且,接觸阻障層246S可覆蓋且物理接觸導電部件230BG1的頂面230BG1T和導電部件230BG2的頂面230BG2T的至少一個。接觸阻障層246S可用於防止後續形成的接觸導電層248S1、248S2擴散到閘極230AG1、230AG2中。接觸阻障層246S的材料可包括鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭 (TaN)、鈷(Co)、其他合適的阻障材料、或上述之組合。在一些實施例中,可利用化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、物理氣相沉積(PVD)製程、其他合適的製程、或上述之組合而形成接觸阻障層246S。In some embodiments, the source contact 250S1 may include a contact barrier layer 246S and a contact conductive layer 248S1. The source contact 250S2 may include a contact barrier layer 246S and a contact conductive layer 248S2. In some embodiments, the source contacts 250S1 and 250S2 are formed simultaneously. As shown in FIG. 1B , the contact barrier layer 246S may continuously cover the top surface 200T of the epitaxial layer 200 of the first region 400 and the second region 410, and extend into a portion of the epitaxial layer 200 of the first region 400. The contact barrier layer 246S may cover and physically contact the top surface 220F2T of the electrode 220F2. Furthermore, the contact barrier layer 246S may cover and physically contact at least one of the top surface 230BG1T of the conductive component 230BG1 and the top surface 230BG2T of the conductive component 230BG2. The contact barrier layer 246S may be used to prevent the subsequently formed contact conductive layers 248S1 and 248S2 from diffusing into the gates 230AG1 and 230AG2. The material of the contact barrier layer 246S may include titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), cobalt (Co), other suitable barrier materials, or combinations thereof. In some embodiments, the contact barrier layer 246S may be formed using a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a physical vapor deposition (PVD) process, other suitable processes, or combinations thereof.

在一些實施例中,源極接觸250S1、250S2的接觸導電層248S1、248S2可為單層或多層結構。接觸導電層248S1、248S2的材料的可包括鎢(W)、鋁(Al)、銅(Cu)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、矽化鎳(NiSi)、矽化鈷(CoSi)、其他合適的金屬、或上述之組合。在一些實施例中,可利用化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、其他合適的製程、或上述之組合而形成接觸導電層248S1、248S2。In some embodiments, the contact conductive layers 248S1 and 248S2 of the source contacts 250S1 and 250S2 may be single-layer or multi-layer structures. The materials of the contact conductive layers 248S1 and 248S2 may include tungsten (W), aluminum (Al), copper (Cu), titanium (Ti), tantalum (Ta), titanium nitride (TiN), tantalum nitride (TaN), nickel silicide (NiSi), cobalt silicide (CoSi), other suitable metals, or combinations thereof. In some embodiments, the contact conductive layers 248S1 and 248S2 may be formed by chemical vapor deposition processes, atomic layer deposition processes, physical vapor deposition processes, other suitable processes, or combinations thereof.

半導體裝置500更包括閘極接觸250G。閘極接觸250G設置於第三區420的磊晶層200上。閘極接觸250G從層間介電層240CR的上方,沿方向320穿過層間介電層240CR且延伸至部分閘極230G中,以電性連接閘極230G。類似於源極接觸250S1、250S2,閘極接觸250G可包括接觸阻障層246G以及接觸導電層248G。在一些實施例中,接觸阻障層246S、246G包括相同或類似的材料及製程,且可同時形成。並且,接觸阻障層246S與接觸阻障層246G彼此隔開。接觸導電層248S1、248S2、248G可包括相同或類似的材料及製程,且可同時形成。The semiconductor device 500 further includes a gate contact 250G. The gate contact 250G is disposed on the epitaxial layer 200 in the third region 420. The gate contact 250G extends from above the interlayer dielectric layer 240CR, through the interlayer dielectric layer 240CR along the direction 320, and into a portion of the gate 230G to electrically connect the gate 230G. Similar to the source contacts 250S1 and 250S2, the gate contact 250G may include a contact barrier layer 246G and a contact conductive layer 248G. In some embodiments, the contact barrier layers 246S and 246G include the same or similar materials and processes and can be formed at the same time. In addition, the contact barrier layer 246S and the contact barrier layer 246G are separated from each other. The contact conductive layers 248S1, 248S2, and 248G can include the same or similar materials and processes and can be formed at the same time.

如第1A、1B圖所示,半導體裝置500還包括彼此分離的源極金屬層(source metal layer)254S以及閘極金屬層(gate metal layer)254G。源極金屬層254S從第一區400的磊晶層200延伸覆蓋第二區410的磊晶層200,且藉由源極接觸250S1、250S2與電極220F2、源極區236(及接線摻雜區238)、井區234電性接觸。並且,源極金屬層254S藉由接觸阻障層246S與導電部件230BG1、導電部件230BG2電性接觸。閘極金屬層254G覆蓋第三區420的磊晶層200,且藉由閘極接觸250G與閘極230G電性接觸。源極金屬層254S以及閘極金屬層254G可做為最終半導體裝置500的頂部金屬層。源極金屬層254S可將導電部件230BG1、導電部件230BG2與電極220F1、電極220F2、源極區236以及井區234電性連接。如第1B圖所示,位於第二區410的源極金屬層254S及其下的接觸阻障層246S可與相鄰兩對分離導電結構230BG之間的磊晶層200形成蕭特基接面。另外,源極金屬層254S也可藉由其他內連線(圖未顯示)電性連接電極220F3、220F4。閘極金屬層254G可通過閘極接觸250G電性連接閘極230G。As shown in FIGS. 1A and 1B , the semiconductor device 500 further includes a source metal layer 254S and a gate metal layer 254G separated from each other. The source metal layer 254S extends from the epitaxial layer 200 of the first region 400 to cover the epitaxial layer 200 of the second region 410, and is electrically connected to the electrode 220F2, the source region 236 (and the wiring doped region 238), and the well region 234 through the source contacts 250S1 and 250S2. In addition, the source metal layer 254S is electrically connected to the conductive components 230BG1 and 230BG2 through the contact barrier layer 246S. The gate metal layer 254G covers the epitaxial layer 200 of the third region 420 and is electrically connected to the gate 230G via the gate contact 250G. The source metal layer 254S and the gate metal layer 254G can serve as the top metal layer of the final semiconductor device 500. The source metal layer 254S can electrically connect the conductive components 230BG1 and 230BG2 to the electrodes 220F1 and 220F2, the source region 236, and the well region 234. As shown in FIG. 1B , the source metal layer 254S and the contact barrier layer 246S thereunder located in the second region 410 can form a Schottky junction with the epitaxial layer 200 between two adjacent pairs of separated conductive structures 230BG. In addition, the source metal layer 254S can also be electrically connected to the electrodes 220F3 and 220F4 through other internal connections (not shown). The gate metal layer 254G can be electrically connected to the gate 230G through the gate contact 250G.

如第1B圖所示,位於第二區410的源極金屬層254S及其下的接觸阻障層246S可與相鄰兩對分離導電結構230BG之間的磊晶層(飄移區)200整體構成一個蕭特基二極體(Schottky diode)。在不同導電類型的井區234和磊晶層(飄移區)200的界面所固有寄生的二極體(intrinsic diode)稱為基體二極體(body diode),實施例的蕭特基二極體會與基體二極體並聯。由於蕭特基二極體的能障比基體二極體的能障更低,即導通電阻(Von)更低,在操作半導體裝置時,載子會經由蕭特基二極體而非基體二極體流動。因此,本揭露實施例的蕭特基二極體可使基體二極體失能,進而使半導體裝置達到降低導通電阻和減少功率損失的益處。As shown in FIG. 1B , the source metal layer 254S and the contact barrier layer 246S thereunder located in the second region 410 can form a Schottky diode together with the epitaxial layer (drift region) 200 between the two adjacent pairs of separated conductive structures 230BG. The intrinsic diode at the interface between the well region 234 of different conductive types and the epitaxial layer (drift region) 200 is called a body diode, and the Schottky diode of the embodiment is connected in parallel with the body diode. Since the energy barrier of the Schottky diode is lower than that of the base diode, that is, the on-resistance (Von) is lower, when the semiconductor device is operated, carriers flow through the Schottky diode instead of the base diode. Therefore, the Schottky diode of the disclosed embodiment can disable the base diode, thereby enabling the semiconductor device to achieve the benefits of reducing the on-resistance and power loss.

在一些實施例中,源極金屬層254S以及閘極金屬層254G可包括銅、銀、金、鋁、鎢、其他合適的金屬材料、或上述之組合。在一些實施例中,源極金屬層254S、閘極金屬層254G與源極接觸250S1、250S2以及閘極接觸250G可包括相同的材料、或不同的材料。在一些實施例中,可利用沉積製程及後續的圖案化製程形成源極金屬層254S以及閘極金屬層254G。在一些實施例中,上述沉積製程可包括物理氣相沉積製程、化學氣相沉積製程、其他合適的製程、或上述之組合。In some embodiments, the source metal layer 254S and the gate metal layer 254G may include copper, silver, gold, aluminum, tungsten, other suitable metal materials, or combinations thereof. In some embodiments, the source metal layer 254S, the gate metal layer 254G and the source contacts 250S1, 250S2 and the gate contact 250G may include the same material or different materials. In some embodiments, the source metal layer 254S and the gate metal layer 254G may be formed by a deposition process and a subsequent patterning process. In some embodiments, the deposition process may include a physical vapor deposition process, a chemical vapor deposition process, other suitable processes, or a combination thereof.

接下來以第2至22圖說明本發明的一些實施例之半導體裝置500的形成方法。第2至22圖為形成第1A、1B圖所示的本發明的一些實施例之半導體裝置500的中間階段的剖面示意圖,圖中與第1A、1B圖相同或相似之元件符號表示相同或相似之元件。Next, the method of forming the semiconductor device 500 of some embodiments of the present invention is described with reference to FIGS. 2 to 22. FIGS. 2 to 22 are cross-sectional schematic diagrams of intermediate stages of forming the semiconductor device 500 of some embodiments of the present invention shown in FIGS. 1A and 1B. The same or similar element symbols as those in FIGS. 1A and 1B represent the same or similar elements.

如第2圖所示,提供具有第一導電類型的碳化矽基板100,例如為N型重摻雜(N+)碳化矽基板100。As shown in FIG. 2 , a silicon carbide substrate 100 having a first conductivity type is provided, for example, an N-type heavily doped (N+) silicon carbide substrate 100 .

接著,進行磊晶成長製程,於碳化矽基板100的頂面100T上成長具有第一導電類型的磊晶層200,例如為N型輕摻雜(N-)碳化矽磊晶層200。在一些實施例中,磊晶製程包括金屬有機物化學氣相沉積(metal organic chemical vapor deposition,MOCVD)、電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)、分子束磊晶(molecular beam epitaxy,MBE)、氫化物氣相磊晶(hydride vapour phase epitaxy,HVPE)、液相磊晶(liquid phase epitaxy,LPE)、氯化物氣相磊晶(Cl-VPE)、其他合適的磊晶成長製程或上述之組合。Next, an epitaxial growth process is performed to grow an epitaxial layer 200 having a first conductivity type, such as an N-type lightly doped (N-) silicon carbide epitaxial layer 200, on the top surface 100T of the silicon carbide substrate 100. In some embodiments, the epitaxial process includes metal organic chemical vapor deposition (MOCVD), plasma-enhanced CVD (PECVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE), liquid phase epitaxy (LPE), chloride vapor phase epitaxy (Cl-VPE), other suitable epitaxial growth processes, or a combination thereof.

接著,如第3圖所示,可進行微影製程及後續的離子植入製程,於第一區400、第三區420及第四區430的磊晶層200中形成具有第二導電類型的井區234,例如為P型井區234。井區234從第一區400、第三區420及第四區430的磊晶層200的頂面200T延伸至部分磊晶層200中。第二區410的磊晶層200中不具有井區234。Next, as shown in FIG. 3 , a lithography process and a subsequent ion implantation process may be performed to form a well region 234 having a second conductivity type, such as a P-type well region 234, in the epitaxial layer 200 of the first region 400, the third region 420, and the fourth region 430. The well region 234 extends from the top surface 200T of the epitaxial layer 200 of the first region 400, the third region 420, and the fourth region 430 to a portion of the epitaxial layer 200. The epitaxial layer 200 of the second region 410 does not have a well region 234.

接著,如第4圖所示,可進行沉積製程,在磊晶層200上形成遮罩層210。在一些實施例中,遮罩層210可為單層或多層結構。在一些實施例中,遮罩層210可包括例如氧化矽的絕緣材料。Next, as shown in FIG. 4 , a deposition process may be performed to form a mask layer 210 on the epitaxial layer 200. In some embodiments, the mask layer 210 may be a single layer or a multi-layer structure. In some embodiments, the mask layer 210 may include an insulating material such as silicon oxide.

接著,如第5圖所示,進行微影製程以及後續的圖案化製程。移除部分遮罩層210,以於磊晶層200的頂面200T上分別形成遮罩圖案210P,以定義出溝槽的形成位置。之後,利用遮罩圖案210P作為蝕刻遮罩,對磊晶層200進行蝕刻製程。上述蝕刻製程移除未被遮罩圖案210P覆蓋的磊晶層200,以沿方向320分別在第一區400、第二區410、第三區420及第四區430的磊晶層200中形成溝槽212A、212B、212C、212D。在第5圖所示的實施例中,上述蝕刻製程於第一區400的磊晶層200中形成兩個溝槽212A,於第二區410的磊晶層200中形成一個溝槽212B,於第三區420中的磊晶層200中形成一個溝槽212C,且於第四區430中的磊晶層200中形成一個溝槽212D。相鄰的兩個溝槽212A沿方向300彼此隔開,且定義出磊晶層200的台面(mesa)區域400M。在一些實施例中,上述蝕刻製程包括乾蝕刻。乾蝕刻可包含電漿蝕刻、無電漿氣體蝕刻、濺射蝕刻(sputter etching)、離子研磨(ion milling)、反應性離子蝕刻(reactive ion etching,RIE)、中性粒子束蝕刻(neutral beam etch,NBE)、感應耦合電漿蝕刻(inductive coupled plasma etch)或其他適合的製程。Next, as shown in FIG. 5 , a lithography process and a subsequent patterning process are performed. Part of the mask layer 210 is removed to form mask patterns 210P on the top surface 200T of the epitaxial layer 200 to define the formation position of the trench. Afterwards, the mask pattern 210P is used as an etching mask to perform an etching process on the epitaxial layer 200. The above etching process removes the epitaxial layer 200 not covered by the mask pattern 210P to form trenches 212A, 212B, 212C, and 212D in the epitaxial layer 200 in the first area 400, the second area 410, the third area 420, and the fourth area 430 along the direction 320. In the embodiment shown in FIG. 5 , the etching process forms two trenches 212A in the epitaxial layer 200 in the first region 400, one trench 212B in the epitaxial layer 200 in the second region 410, one trench 212C in the epitaxial layer 200 in the third region 420, and one trench 212D in the epitaxial layer 200 in the fourth region 430. Two adjacent trenches 212A are separated from each other along the direction 300 and define a mesa region 400M of the epitaxial layer 200. In some embodiments, the etching process includes dry etching. Dry etching may include plasma etching, plasma-free gas etching, sputter etching, ion milling, reactive ion etching (RIE), neutral beam etching (NBE), inductive coupled plasma etching or other suitable processes.

接著,如第6圖所示,可進行選擇性蝕刻製程,移除遮罩圖案210P。之後,可進行氧化製程以及後續的蝕刻製程,於溝槽212A、212B、212C、212D的側壁212A-S、212B-S、212C-S、212D-S和底面212A-B、212B-B、212C-B、212D-B上形成犠牲氧化層(SAC oxide layer)(圖未顯示)。之後再進行蝕刻製程,移除上述犠牲氧化層,使溝槽212A、212B、212C、212D的側壁212A-S、212B-S、212C-S、212D-S和底面212A-B、212B-B、212C-B、212D-B再度暴露出來。第6圖所示的氧化製程以及蝕刻製程可去除形成溝槽212A、212B、212C、212D的蝕刻製程(第5圖)所造成的表面損傷。Next, as shown in FIG. 6 , a selective etching process may be performed to remove the mask pattern 210P. Thereafter, an oxidation process and a subsequent etching process may be performed to form a sacrificial oxide layer (SAC oxide layer) (not shown) on the sidewalls 212A-S, 212B-S, 212C-S, 212D-S and bottom surfaces 212A-B, 212B-B, 212C-B, 212D-B of the trenches 212A, 212B, 212C, 212D. Then, an etching process is performed to remove the sacrificial oxide layer, so that the sidewalls 212A-S, 212B-S, 212C-S, 212D-S and bottom surfaces 212A-B, 212B-B, 212C-B, 212D-B of the trenches 212A, 212B, 212C, 212D are exposed again. The oxidation process and etching process shown in FIG. 6 can remove the surface damage caused by the etching process (FIG. 5) for forming the trenches 212A, 212B, 212C, 212D.

接著,如第7圖所示,可進行氧化製程以及後續的沉積製程,全面性形成遮蔽介電層216。遮蔽介電層216覆蓋磊晶層200的頂面200T,且延伸至溝槽212A、212B、212C、212D中,順應性覆蓋溝槽212A、212B、212C、212D的側壁212A-S、212B-S、212C-S、212D-S和底面212A-B、212B-B、212C-B、212D-B (第6圖)。Next, as shown in FIG. 7 , an oxidation process and a subsequent deposition process may be performed to fully form a shielding dielectric layer 216. The shielding dielectric layer 216 covers the top surface 200T of the epitaxial layer 200 and extends into the trenches 212A, 212B, 212C, and 212D, conformingly covering the sidewalls 212A-S, 212B-S, 212C-S, 212D-S and bottom surfaces 212A-B, 212B-B, 212C-B, and 212D-B of the trenches 212A, 212B, 212C, and 212D ( FIG. 6 ).

在一些實施例中,可選擇性的對遮蔽介電層216進行熱製程,以增加遮蔽介電層216的緻密度,且改善遮蔽介電層216與磊晶層200之間的界面性質。在一些實施例中,熱製程可為快速熱退火(RTA)製程。In some embodiments, a thermal process may be selectively performed on the shielding dielectric layer 216 to increase the density of the shielding dielectric layer 216 and improve the interface property between the shielding dielectric layer 216 and the epitaxial layer 200. In some embodiments, the thermal process may be a rapid thermal annealing (RTA) process.

接著,如第8圖所示,可進行沉積製程以及後續的平坦化製程,分別於溝槽212A、212B、212C、212D (第7圖)中形成導電材料220A、220B、220C、220D。在一些實施例中,導電材料220A、220B、220C、220D為同時形成。導電材料220A的頂面220AT、導電材料220B的頂面220BT、導電材料220C的頂面220CT以及導電材料220D的頂面220DT皆高於磊晶層200的頂面200T,且彼此對齊。舉例來說,導電材料220A的頂面220AT、導電材料220B的頂面220BT、導電材料220C的頂面220CT以及導電材料220D的頂面220DT皆對齊遮蔽介電層216的頂面216T。並且,導電材料220A、220B、220C、220D包括相同的材料。在一些實施例中,導電材料220A、220B、220C、220D可由非晶矽、多晶矽、一或多種金屬、金屬氮化物、金屬矽化物、導電金屬氧化物、或上述之組合所形成。在一些實施例中,金屬可包含但不限於鎢(W)、鈦(Ti)、鉭(Ta)、鉑(Pt)。在一些實施例中,金屬氮化物可包括但不限於氮化鈦(TiN)以及氮化鉭(TaN)。在一些實施例中,金屬矽化物可包括但不限於矽化鎢(WSi x)。在一些實施例中,上述沉積製程可包含金屬有機物化學氣相沉積(metal organic chemical vapor deposition;MOCVD)、濺鍍、電阻加熱蒸鍍、電子束蒸鍍、或其他適合的沉積製程。在一些實施例中,上述平坦化製程包括化學機械研磨(CMP)製程。 Next, as shown in FIG. 8 , a deposition process and a subsequent planarization process may be performed to form conductive materials 220A, 220B, 220C, and 220D in the trenches 212A, 212B, 212C, and 212D ( FIG. 7 ), respectively. In some embodiments, the conductive materials 220A, 220B, 220C, and 220D are formed simultaneously. The top surface 220AT of the conductive material 220A, the top surface 220BT of the conductive material 220B, the top surface 220CT of the conductive material 220C, and the top surface 220DT of the conductive material 220D are all higher than the top surface 200T of the epitaxial layer 200 and are aligned with each other. For example, the top surface 220AT of the conductive material 220A, the top surface 220BT of the conductive material 220B, the top surface 220CT of the conductive material 220C, and the top surface 220DT of the conductive material 220D are aligned with the top surface 216T of the shielding dielectric layer 216. In addition, the conductive materials 220A, 220B, 220C, and 220D include the same material. In some embodiments, the conductive materials 220A, 220B, 220C, and 220D may be formed of amorphous silicon, polycrystalline silicon, one or more metals, metal nitrides, metal silicides, conductive metal oxides, or combinations thereof. In some embodiments, the metal may include but is not limited to tungsten (W), titanium (Ti), tantalum (Ta), platinum (Pt). In some embodiments, the metal nitride may include but is not limited to titanium nitride (TiN) and tantalum nitride (TaN). In some embodiments, the metal silicide may include but is not limited to tungsten silicide ( WSix ). In some embodiments, the above-mentioned deposition process may include metal organic chemical vapor deposition (MOCVD), sputtering, resistive thermal evaporation, electron beam evaporation, or other suitable deposition processes. In some embodiments, the above-mentioned planarization process includes a chemical mechanical polishing (CMP) process.

接著,如第9圖所示,可進行回蝕刻製程,從導電材料220A、220B、220C、220D的頂面220AT、220BT、220CT、220DT移除部分導電材料220A、220B、220C、220D。進行回蝕刻製程之後,殘留的導電材料220A、220B、220C、220D標示為導電材料220AR、220BR、220CR、220DR。導電材料220AR、220BR、220CR、220DR的頂面220ART、220BRT、220CRT、220DRT可位於磊晶層200的頂面200T的上方。舉例來說,導電材料220AR、220BR、220CR、220DR的頂面220ART、220BRT、220CRT、220DRT可高於磊晶層200的頂面200T,且低於遮蔽介電層216的頂面216T。在一些實施例中,回蝕刻製程可為選擇性蝕刻製程,例如乾蝕刻。Next, as shown in FIG. 9 , an etch-back process may be performed to remove portions of the conductive materials 220A, 220B, 220C, 220D from the top surfaces 220AT, 220BT, 220CT, 220DT of the conductive materials 220A, 220B, 220C, 220D. After the etch-back process, the remaining conductive materials 220A, 220B, 220C, 220D are labeled as conductive materials 220AR, 220BR, 220CR, 220DR. The top surfaces 220ART, 220BRT, 220CRT, 220DRT of the conductive materials 220AR, 220BR, 220CR, 220DR may be located above the top surface 200T of the epitaxial layer 200. For example, the top surfaces 220ART, 220BRT, 220CRT, 220DRT of the conductive materials 220AR, 220BR, 220CR, 220DR may be higher than the top surface 200T of the epitaxial layer 200 and lower than the top surface 216T of the shielding dielectric layer 216. In some embodiments, the etching back process may be a selective etching process, such as dry etching.

接著,如第10圖所示,可進行沉積製程,全面性形成氧化層222。氧化層222覆蓋遮蔽介電層216以及導電材料220AR、220BR、220CR、220DR。當氧化層222與遮蔽介電層216皆包括氧化矽時,氧化層222與遮蔽介電層216之間的界面並不明顯。在一些實施例中,氧化層222包括利用低壓化學氣相沉積(LPCVD)形成的四乙基正矽酸鹽(TEOS)氧化物。由於導電材料220AR、220BR、220CR、220DR的頂面220ART、220BRT、220CRT、220DRT低於遮蔽介電層216的頂面216T,因此,在導電材料220AR、220BR、220CR、220DR正上方的部分氧化層222的上表面222T1會低於在遮蔽介電層216正上方的部分氧化層222的上表面222T2。Next, as shown in FIG. 10 , a deposition process may be performed to fully form an oxide layer 222. The oxide layer 222 covers the shielding dielectric layer 216 and the conductive materials 220AR, 220BR, 220CR, and 220DR. When both the oxide layer 222 and the shielding dielectric layer 216 include silicon oxide, the interface between the oxide layer 222 and the shielding dielectric layer 216 is not obvious. In some embodiments, the oxide layer 222 includes tetraethyl orthosilicate (TEOS) oxide formed using low pressure chemical vapor deposition (LPCVD). Since the top surfaces 220ART, 220BRT, 220CRT, 220DRT of the conductive materials 220AR, 220BR, 220CR, 220DR are lower than the top surface 216T of the shielding dielectric layer 216, the upper surface 222T1 of the portion of the oxide layer 222 directly above the conductive materials 220AR, 220BR, 220CR, 220DR is lower than the upper surface 222T2 of the portion of the oxide layer 222 directly above the shielding dielectric layer 216.

接著,如第11圖所示,可進行微影製程,於第四區430的磊晶層200上方形成例如為光阻圖案的遮罩圖案PR1。遮罩圖案PR1覆蓋導電材料220DR以及第四區430的部分遮蔽介電層216、氧化層222,且使第一區400、第二區410、第三區420的部分氧化層222(第10圖)從遮罩圖案PR1暴露出來。之後,可進行選擇性蝕刻製程,從磊晶層200的頂面200T上以及溝槽212A、212B、212C接近磊晶層200的頂面200T的上部移除氧化層222及的部分遮蔽介電層216(第10圖),使導電材料220AR、220BR、220CR的上部220AR-1、220BR-1、220CR-1暴露出來。進行選擇性蝕刻製程之後,殘留在第一區400、第二區410以及第三區420的溝槽212A、212B、212C中的遮蔽介電層216標示為遮蔽介電層216AR、216BR、216CR,殘留在第四區430的遮蔽介電層216及氧化層222標示為遮蔽介電層216DR及氧化層222DR。遮蔽介電層216AR、216BR、216CR包圍導電材料220AR、220BR、220CR的下部,且暴露出溝槽212A、212B、212C上部的側壁212A-S、212B-S、212C-S。遮蔽介電層216AR、216BR、216CR的頂面216ART、216BRT、216CRT可在井區234的底面234B下方。遮蔽介電層216DR及氧化層222DR包圍導電材料220DR。在一些實施例中,選擇性蝕刻製程包括濕蝕刻。形成遮蔽介電層216AR、216BR、216CR、216DR之後,移除遮罩圖案PR1。Next, as shown in FIG. 11 , a lithography process may be performed to form a mask pattern PR1 such as a photoresist pattern on the epitaxial layer 200 of the fourth region 430. The mask pattern PR1 covers the conductive material 220DR and a portion of the shielding dielectric layer 216 and the oxide layer 222 of the fourth region 430, and exposes a portion of the oxide layer 222 ( FIG. 10 ) of the first region 400, the second region 410, and the third region 420 from the mask pattern PR1. Thereafter, a selective etching process may be performed to remove the oxide layer 222 and a portion of the shielding dielectric layer 216 ( FIG. 10 ) from the top surface 200T of the epitaxial layer 200 and the upper portion of the trenches 212A, 212B, 212C close to the top surface 200T of the epitaxial layer 200, thereby exposing the upper portions 220AR-1, 220BR-1, 220CR-1 of the conductive materials 220AR, 220BR, 220CR. After the selective etching process, the shielding dielectric layer 216 remaining in the trenches 212A, 212B, 212C in the first region 400, the second region 410, and the third region 420 is labeled as shielding dielectric layer 216AR, 216BR, 216CR, and the shielding dielectric layer 216 and the oxide layer 222 remaining in the fourth region 430 are labeled as shielding dielectric layer 216DR and oxide layer 222DR. The shielding dielectric layers 216AR, 216BR, 216CR surround the lower portion of the conductive materials 220AR, 220BR, 220CR and expose the sidewalls 212A-S, 212B-S, 212C-S at the upper portion of the trenches 212A, 212B, 212C. The top surfaces 216ART, 216BRT, 216CRT of the shielding dielectric layers 216AR, 216BR, 216CR may be below the bottom surface 234B of the well region 234. The shielding dielectric layer 216DR and the oxide layer 222DR surround the conductive material 220DR. In some embodiments, the selective etching process includes wet etching. After forming the shielding dielectric layers 216AR, 216BR, 216CR, 216DR, the mask pattern PR1 is removed.

接著,如第12圖所示,可進行氧化製程,於溝槽212A、212C中形成閘極介電層224A、224C,且同時於溝槽212B中形成介電層224B。上述氧化製程包括氧化溝槽212A上部的側壁212A-S以及導電材料220AR的上部220AR-1(第11圖)中的表面部分,以於溝槽212A中形成閘極介電層224A以及電極220F1。電極220F1的頂面220F1T可對齊磊晶層200的頂面200T(頂面220F1T、200T為共平面)。Next, as shown in FIG. 12 , an oxidation process may be performed to form gate dielectric layers 224A and 224C in the trenches 212A and 212C, and simultaneously form a dielectric layer 224B in the trench 212B. The oxidation process includes oxidizing the sidewalls 212A-S of the upper portion of the trench 212A and the surface portion of the upper portion 220AR-1 ( FIG. 11 ) of the conductive material 220AR to form the gate dielectric layer 224A and the electrode 220F1 in the trench 212A. The top surface 220F1T of the electrode 220F1 may be aligned with the top surface 200T of the epitaxial layer 200 (the top surfaces 220F1T and 200T are coplanar).

上述氧化製程還包括氧化溝槽212B上部的側壁212B-S以及導電材料220BR的上部220BR-1(第11圖)中的表面部分,以於溝槽212B中形成介電層224B以及電極220F2。上述氧化製程更包括氧化溝槽212C上部的側壁212C-S以及導電材料220CR的上部220CR-1(第11圖)中的表面部分,以於溝槽212C中形成閘極介電層224C以及電極220F3。遮蔽介電層216AR、216BR、216CR包圍導電材料220AR、220BR、220CR的下部,因此導電材料220AR、220BR、220CR的下部不會被氧化。當閘極介電層224A、介電層224B、閘極介電層224C以及遮蔽介電層216AR、216BR、216CR皆包括氧化矽時,閘極介電層224A、224C與遮蔽介電層216AR、216CR (或介電層224B與遮蔽介電層216BR)之間的界面並不明顯。並且,閘極介電層224A、介電層224B及閘極介電層224C延伸覆蓋第一區400、第二區410及第三區420的磊晶層200的頂面200T。上述氧化製程還包括於第四區430中的氧化層222DR上形成氧化層(圖未顯示)。The oxidation process further includes oxidizing the sidewall 212B-S at the upper portion of the trench 212B and the surface portion in the upper portion 220BR-1 (FIG. 11) of the conductive material 220BR to form a dielectric layer 224B and an electrode 220F2 in the trench 212B. The oxidation process further includes oxidizing the sidewall 212C-S at the upper portion of the trench 212C and the surface portion in the upper portion 220CR-1 (FIG. 11) of the conductive material 220CR to form a gate dielectric layer 224C and an electrode 220F3 in the trench 212C. The shielding dielectric layers 216AR, 216BR, 216CR surround the lower portion of the conductive materials 220AR, 220BR, 220CR, so the lower portion of the conductive materials 220AR, 220BR, 220CR will not be oxidized. When the gate dielectric layer 224A, the dielectric layer 224B, the gate dielectric layer 224C, and the shielding dielectric layers 216AR, 216BR, 216CR all include silicon oxide, the interface between the gate dielectric layers 224A, 224C and the shielding dielectric layers 216AR, 216CR (or the dielectric layer 224B and the shielding dielectric layer 216BR) is not obvious. Furthermore, the gate dielectric layer 224A, the dielectric layer 224B and the gate dielectric layer 224C extend to cover the top surface 200T of the epitaxial layer 200 in the first region 400, the second region 410 and the third region 420. The oxidation process further includes forming an oxide layer on the oxide layer 222DR in the fourth region 430 (not shown).

在本發明一些實施例中,閘極介電層224A並未填滿溝槽212A。並且,閘極介電層224A包括順應性形成於溝槽212A上部的側壁212A-S(第11圖)上的閘極介電層224A-1,以及包圍電極220F1的上部的閘極介電層224A-2。類似地,介電層224B並未填滿溝槽212B。並且,介電層224B包括順應性形成於溝槽212B上部的側壁212B-S(第11圖)上的介電層224B-1,以及包圍電極220F2的上部的介電層224B-2。類似地,閘極介電層224C並未填滿溝槽212C。並且,閘極介電層224C包括順應性形成於溝槽212C上部的側壁212C-S(第11圖)上的閘極介電層224C-1,以及包圍電極220F3的上部的閘極介電層224C-2。在一些實施例中,閘極介電層224A-1、介電層224B-1、閘極介電層224C-1的厚度小於遮蔽介電層216AR、216BR、216CR的厚度。相較於例如由碳化矽的磊晶層200氧化而成閘極介電層224A-1、介電層224B-1、閘極介電層224C-1,閘極介電層224A-2、介電層224B-2、閘極介電層224C-2由例如多晶矽的導電材料220AR、220BR、220CR(第11圖)氧化而成,因此具有較厚的厚度。In some embodiments of the present invention, the gate dielectric layer 224A does not completely fill the trench 212A. Moreover, the gate dielectric layer 224A includes a gate dielectric layer 224A-1 conformally formed on the sidewall 212A-S ( FIG. 11 ) at the upper portion of the trench 212A, and a gate dielectric layer 224A-2 surrounding the upper portion of the electrode 220F1. Similarly, the dielectric layer 224B does not completely fill the trench 212B. Furthermore, the dielectric layer 224B includes a dielectric layer 224B-1 conformably formed on the sidewall 212B-S (FIG. 11) of the upper portion of the trench 212B, and a dielectric layer 224B-2 surrounding the upper portion of the electrode 220F2. Similarly, the gate dielectric layer 224C does not fill the trench 212C. Furthermore, the gate dielectric layer 224C includes a gate dielectric layer 224C-1 conformably formed on the sidewall 212C-S (FIG. 11) of the upper portion of the trench 212C, and a gate dielectric layer 224C-2 surrounding the upper portion of the electrode 220F3. In some embodiments, the thickness of the gate dielectric layer 224A-1, the dielectric layer 224B-1, and the gate dielectric layer 224C-1 is smaller than the thickness of the shielding dielectric layer 216AR, 216BR, and 216CR. Compared with the gate dielectric layer 224A-1, the dielectric layer 224B-1, and the gate dielectric layer 224C-1 formed by oxidation of the epitaxial layer 200 of silicon carbide, the gate dielectric layer 224A-2, the dielectric layer 224B-2, and the gate dielectric layer 224C-2 are formed by oxidation of the conductive material 220AR, 220BR, and 220CR ( FIG. 11 ) such as polysilicon, and therefore have a thicker thickness.

進行上述氧化製程之後,在溝槽212A、溝槽212B、溝槽212C中的未氧化的導電材料220AR、導電材料220BR、導電材料220CR分別形成電極220F1、電極220F2、電極220F3,而在溝槽212D中的未氧化的導電材料220DR則構成電極220F4。在本發明一些實施例中,電極220F1、220F2、220F3、220F4沿方向320延伸。在方向300上,由於閘極介電層224A-2、介電層224B-2、閘極介電層224C-2具有較閘極介電層224A-1、介電層224B-1、閘極介電層224C-1厚的厚度,因此電極220F1的上部(未氧化的導電材料220A的上部220AR-1)的寬度W1可小於電極220F1的下部(被遮蔽介電層216AR包圍的部分)的寬度W2。類似地,在方向300上,電極220F2的上部(未氧化的導電材料220B的上部220BR-1)的寬度W3可小於電極220F2的下部(被遮蔽介電層216BR包圍的部分)的寬度W4,電極220F3的上部(未氧化的導電材料220C的上部220CR-1)的寬度W5可小於電極220F3的下部(被遮蔽介電層216CR包圍的部分)的寬度W6。在一些實施例中,寬度W1、W3、W5可彼此相等,寬度W2、W4、W6可彼此相等。此外,在方向300中,電極220F4具有均一的寬度W7。在一些實施例中,寬度W7可等於寬度W1、W3、 W5。After the oxidation process, the unoxidized conductive material 220AR, conductive material 220BR, and conductive material 220CR in the trench 212A, trench 212B, and trench 212C respectively form electrodes 220F1, electrode 220F2, and electrode 220F3, while the unoxidized conductive material 220DR in the trench 212D forms an electrode 220F4. In some embodiments of the present invention, the electrodes 220F1, 220F2, 220F3, and 220F4 extend along the direction 320. In direction 300, since the gate dielectric layer 224A-2, the dielectric layer 224B-2, and the gate dielectric layer 224C-2 are thicker than the gate dielectric layer 224A-1, the dielectric layer 224B-1, and the gate dielectric layer 224C-1, the width W1 of the upper portion of the electrode 220F1 (the upper portion 220AR-1 of the unoxidized conductive material 220A) may be smaller than the width W2 of the lower portion of the electrode 220F1 (the portion surrounded by the shielding dielectric layer 216AR). Similarly, in direction 300, the width W3 of the upper portion of electrode 220F2 (upper portion 220BR-1 of unoxidized conductive material 220B) may be smaller than the width W4 of the lower portion of electrode 220F2 (the portion surrounded by shielding dielectric layer 216BR), and the width W5 of the upper portion of electrode 220F3 (upper portion 220CR-1 of unoxidized conductive material 220C) may be smaller than the width W6 of the lower portion of electrode 220F3 (the portion surrounded by shielding dielectric layer 216CR). In some embodiments, widths W1, W3, and W5 may be equal to each other, and widths W2, W4, and W6 may be equal to each other. In addition, in direction 300, electrode 220F4 has a uniform width W7. In some embodiments, width W7 may be equal to widths W1, W3, and W5.

在一些實施例中,上述氧化製程可為熱氧化法(thermal oxidation)、或是其他合適的製程。在一些實施例中,可使用氧化製程及後續的沉積製程形成閘極介電層224A、介電層224B、閘極介電層224C。在一些實施例中,沉積製程可以為低壓化學氣相沉積(LPCVD)或其他合適的製程。In some embodiments, the oxidation process may be thermal oxidation or other suitable processes. In some embodiments, the oxidation process and subsequent deposition process may be used to form the gate dielectric layer 224A, the dielectric layer 224B, and the gate dielectric layer 224C. In some embodiments, the deposition process may be low pressure chemical vapor deposition (LPCVD) or other suitable processes.

接著,如第13圖所示,可進行沉積製程以及後續的平坦化製程,以於磊晶層200上全面性形成電極材料230。電極材料230覆蓋第一區400、第二區410、第三區420的閘極介電層224A、介電層224B、閘極介電層224C以及第四區430的氧化層222DR。並且,電極材料230填充溝槽212A、212B、212C(第12圖)。在一些實施例中,電極材料230與電極220F1、220F2、220F3、220F4可包括相同的材料,例如為多晶矽。在一些實施例中,上述沉積製程可包含金屬有機物化學氣相沉積(metal organic chemical vapor deposition;MOCVD)、濺鍍、電阻加熱蒸鍍、電子束蒸鍍、或其他適合的沉積製程。在一些實施例中,上述平坦化製程包括化學機械研磨(CMP)製程。Next, as shown in FIG. 13 , a deposition process and a subsequent planarization process may be performed to form an electrode material 230 on the epitaxial layer 200. The electrode material 230 covers the gate dielectric layer 224A, the dielectric layer 224B, the gate dielectric layer 224C of the first region 400, the second region 410, and the third region 420, and the oxide layer 222DR of the fourth region 430. In addition, the electrode material 230 fills the trenches 212A, 212B, and 212C ( FIG. 12 ). In some embodiments, the electrode material 230 and the electrodes 220F1, 220F2, 220F3, and 220F4 may include the same material, such as polysilicon. In some embodiments, the deposition process may include metal organic chemical vapor deposition (MOCVD), sputtering, resistive thermal evaporation, electron beam evaporation, or other suitable deposition processes. In some embodiments, the planarization process includes a chemical mechanical polishing (CMP) process.

接著,如第14圖所示,可進行圖案化製程,移除第一區400、第二區410和第四區430的磊晶層200上方的部分電極材料230(第13圖)。上述圖案化製程包括微影製程及後續的選擇性蝕刻製程。上述微影製程可於第三區420的磊晶層200上方形成例如為光阻圖案的遮罩圖案PR2。遮罩圖案PR2覆蓋第三區420中的電極材料230,且使第一區400、第二區410和第四區430的電極材料230暴露出來。之後,可進行選擇性蝕刻製程,移除位於第一區400的磊晶層200的頂面200T上以及溝槽212A接近磊晶層200的頂面200T的上部的電極材料230,以溝槽212A中的電極220F1的相對側壁220F1S上形成沿方向300彼此分離且沿方向320延伸的閘極230AG1、230AG2。並且,上述選擇性蝕刻製程同時移除位於第二區410的磊晶層200的頂面200T上以及溝槽212B接近磊晶層200的頂面200T的上部的電極材料230,以溝槽212B中的電極220F2的相對側壁220F2S上形成沿方向300彼此分離且沿方向320延伸的導電部件230BG1、230BG2。導電部件230BG1、導電部件230BG2可構成分離導電結構230BG。如第14圖所示,閘極230AG1、閘極230AG2、導電部件230BG1、導電部件230BG2可沿方向320延伸至井區234的底面234B的下方。在一些實施例中,閘極230AG1、230AG2可填滿溝槽212A,導電部件230BG1、230BG2可填滿溝槽212B。在一些實施例中,閘極230AG1的頂面230AG1T、閘極230AG2的頂面230AG2T、導電部件230BG1的頂面230BG1T、導電部件230BG2的頂面230BG2T、電極220F1的頂面220F1T可對齊磊晶層200的頂面200T。Next, as shown in FIG. 14 , a patterning process may be performed to remove a portion of the electrode material 230 ( FIG. 13 ) above the epitaxial layer 200 in the first region 400 , the second region 410 , and the fourth region 430 . The patterning process includes a lithography process and a subsequent selective etching process. The lithography process may form a mask pattern PR2 , such as a photoresist pattern, above the epitaxial layer 200 in the third region 420 . The mask pattern PR2 covers the electrode material 230 in the third region 420 , and exposes the electrode material 230 in the first region 400 , the second region 410 , and the fourth region 430 . Thereafter, a selective etching process may be performed to remove the electrode material 230 on the top surface 200T of the epitaxial layer 200 in the first region 400 and the upper portion of the trench 212A close to the top surface 200T of the epitaxial layer 200, so as to form gates 230AG1 and 230AG2 separated from each other along the direction 300 and extending along the direction 320 on the opposite side walls 220F1S of the electrode 220F1 in the trench 212A. Furthermore, the selective etching process simultaneously removes the electrode material 230 on the top surface 200T of the epitaxial layer 200 in the second region 410 and the upper portion of the trench 212B close to the top surface 200T of the epitaxial layer 200, so as to form conductive components 230BG1 and 230BG2 separated from each other along the direction 300 and extending along the direction 320 on the opposite sidewalls 220F2S of the electrode 220F2 in the trench 212B. The conductive components 230BG1 and 230BG2 can constitute a separate conductive structure 230BG. As shown in FIG. 14 , gates 230AG1, 230AG2, conductive components 230BG1, 230BG2 may extend along direction 320 to below the bottom surface 234B of the well 234. In some embodiments, gates 230AG1, 230AG2 may fill up the trench 212A, and conductive components 230BG1, 230BG2 may fill up the trench 212B. In some embodiments, a top surface 230AG1T of the gate 230AG1, a top surface 230AG2T of the gate 230AG2, a top surface 230BG1T of the conductive component 230BG1, a top surface 230BG2T of the conductive component 230BG2, and a top surface 220F1T of the electrode 220F1 may be aligned with a top surface 200T of the epitaxial layer 200 .

如第14圖所示,上述選擇性蝕刻製程也可移除第四區430的氧化層222DR上的電極材料230。經過上述選擇性蝕刻製程之後,可於第三區420中的磊晶層200上方及溝槽212C(第12圖)中形成閘極230G。在一些實施例中,閘極230G填滿溝槽212B剩餘的空間,且延伸覆蓋電極220F3及溝槽212C外部的磊晶層200。由於電極材料230與閘極介電層224A、介電層224B、閘極介電層224C以及氧化層222DR可由不同的材料構成,因此上述選擇性蝕刻製程不會移除閘極介電層224A、介電層224B、閘極介電層224C以及氧化層222DR。在一些實施例中,選擇性蝕刻製程包括乾蝕刻。形成閘極230AG1、閘極230AG2、導電部件230BG1、導電部件230BG2、閘極230G之後,移除遮罩圖案PR2。As shown in FIG. 14 , the selective etching process may also remove the electrode material 230 on the oxide layer 222DR of the fourth region 430. After the selective etching process, a gate 230G may be formed above the epitaxial layer 200 and in the trench 212C ( FIG. 12 ) in the third region 420. In some embodiments, the gate 230G fills the remaining space of the trench 212B and extends to cover the electrode 220F3 and the epitaxial layer 200 outside the trench 212C. Since the electrode material 230 and the gate dielectric layer 224A, the dielectric layer 224B, the gate dielectric layer 224C and the oxide layer 222DR may be made of different materials, the selective etching process will not remove the gate dielectric layer 224A, the dielectric layer 224B, the gate dielectric layer 224C and the oxide layer 222DR. In some embodiments, the selective etching process includes dry etching. After forming the gate 230AG1, the gate 230AG2, the conductive component 230BG1, the conductive component 230BG2, and the gate 230G, the mask pattern PR2 is removed.

接著,以第15、16圖分別說明源極區236、接線摻雜區238的形成方式。並且,以第23圖說明位於第一區400中的源極區236、接線摻雜區238的形成位置。為了說明源極區236、接線摻雜區238的配置,第23圖中未顯示覆蓋磊晶層200的頂面200T的閘極介電層224A-1。第15、16圖顯示本發明的一些實施例之半導體裝置500的中間結構在方向310上不同位置的剖面圖。第20圖為形成第1圖所示的本發明的一些實施例之半導體裝置500的中間階段的上視示意圖,其顯示井區234上方的源極區236以及接線摻雜區238的配置。第15、16圖所示的第一區400可分別對應第20圖的A-A’切線及B-B’切線的剖面位置,以說明在第一區400的磊晶層200中沿方向310交錯排列的源極區236以及接線摻雜區238的形成方式。Next, the formation methods of the source region 236 and the wiring doping region 238 are respectively described with reference to FIGS. 15 and 16. Furthermore, the formation positions of the source region 236 and the wiring doping region 238 located in the first region 400 are described with reference to FIG. 23. In order to illustrate the configuration of the source region 236 and the wiring doping region 238, the gate dielectric layer 224A-1 covering the top surface 200T of the epitaxial layer 200 is not shown in FIG. 15 and 16 show cross-sectional views of the intermediate structure of the semiconductor device 500 of some embodiments of the present invention at different positions in the direction 310. FIG. 20 is a top view schematically showing an intermediate stage of forming the semiconductor device 500 of some embodiments of the present invention shown in FIG. 1, which shows the configuration of the source region 236 and the wiring doping region 238 above the well region 234. The first region 400 shown in FIGS. 15 and 16 may correspond to the cross-sectional positions of the A-A' cut line and the B-B' cut line of FIG. 20, respectively, to illustrate the formation method of the source region 236 and the wiring doping region 238 arranged alternately along the direction 310 in the epitaxial layer 200 of the first region 400.

如第15圖所示,可進行微影製程,以於第二區410、第三區420、第四區430的磊晶層200上方形成例如為光阻圖案的遮罩圖案PR3,暴露出第一區400中源極區236的預定形成區域(對應第23圖中源極區236的形成區域)。之後,進行離子植入製程,於第一區400的井區234上形成具有第一導電類型的源極區236(例如為N型源極區236)。形成源極區236之後,移除上述遮罩圖案PR3。As shown in FIG. 15 , a lithography process may be performed to form a mask pattern PR3, such as a photoresist pattern, on the epitaxial layer 200 in the second region 410, the third region 420, and the fourth region 430 to expose a predetermined formation region of the source region 236 in the first region 400 (corresponding to the formation region of the source region 236 in FIG. 23 ). Thereafter, an ion implantation process is performed to form a source region 236 of a first conductivity type (e.g., an N-type source region 236) on the well region 234 of the first region 400. After the source region 236 is formed, the mask pattern PR3 is removed.

如第16圖所示,可進行微影製程,以於第二區410、第三區420、第四區430的磊晶層200上方形成例如為光阻圖案的遮罩圖案PR4,暴露出第一區400中接線摻雜區238的預定形成區域(對應第23圖中接線摻雜區238的形成區域)。值得注意的是,源極區236以及接線摻雜區238的預定形成區域分別位於磊晶層200沿方向310交錯的不同區域(第20圖)。之後,進行離子植入製程,於第一區400的井區234上形成具有第二導電類型的接線摻雜區238(例如為P型接線摻雜區238)。形成接線摻雜區238之後,移除上述遮罩圖案PR4。As shown in FIG. 16 , a lithography process may be performed to form a mask pattern PR4, such as a photoresist pattern, on the epitaxial layer 200 in the second region 410, the third region 420, and the fourth region 430 to expose the predetermined formation region of the wiring doping region 238 in the first region 400 (corresponding to the formation region of the wiring doping region 238 in FIG. 23 ). It is worth noting that the source region 236 and the predetermined formation region of the wiring doping region 238 are respectively located in different regions of the epitaxial layer 200 that intersect along the direction 310 (FIG. 20 ). Thereafter, an ion implantation process is performed to form a wiring doping region 238 of a second conductivity type (e.g., a P-type wiring doping region 238) on the well region 234 of the first region 400. After forming the wiring doped region 238, the mask pattern PR4 is removed.

在一些實施例中,源極區236以及接線摻雜區238設置於與溝槽212A相鄰的磊晶層200中。並且,源極區236以及接線摻雜區238各別沿方向300設置於相鄰兩對閘極230AG1、230AG2之間的磊晶層200中。換句話說,源極區236以及接線摻雜區238各別設置於台面區域400M中。在方向300上,每一個源極區236以及接線摻雜區238的相對側壁與相鄰的上述兩對閘極230AG1、230AG2中彼此接近的兩個閘極230AG1、230AG2之間不具有其他的摻雜區。In some embodiments, the source region 236 and the wiring doping region 238 are disposed in the epitaxial layer 200 adjacent to the trench 212A. Furthermore, the source region 236 and the wiring doping region 238 are disposed in the epitaxial layer 200 between two adjacent pairs of gates 230AG1 and 230AG2 along the direction 300. In other words, the source region 236 and the wiring doping region 238 are disposed in the mesa region 400M. In the direction 300 , there is no other doping region between the opposite sidewalls of each source region 236 and the wiring doping region 238 and the two gates 230AG1 and 230AG2 that are close to each other in the two pairs of adjacent gates 230AG1 and 230AG2 .

在一些實施例中,第15、16圖所示的製程順序可以互換,意即,可先形成接線摻雜區238,之後再形成源極區236。In some embodiments, the process sequences shown in FIGS. 15 and 16 may be interchanged, that is, the wiring doping region 238 may be formed first, and then the source region 236 may be formed.

接著,如第17圖所示,可進行沉積製程以及後續的平坦化製程,在磊晶層200上全面性形成層間介電層240。層間介電層240覆蓋閘極230AG1、閘極230AG2、導電部件230BG1、導電部件230BG2、閘極230G、閘極介電層224A、介電層224B、閘極介電層224C和氧化層222DR。當閘極介電層224A、介電層224B、閘極介電層224C、氧化層222DR與層間介電層240皆包括氧化矽時,閘極介電層224A、介電層224B、閘極介電層224C、氧化層222DR與層間介電層240之間的界面並不明顯。在一些實施例中,上述平坦化製程包括化學機械研磨(CMP)製程。Next, as shown in FIG. 17 , a deposition process and a subsequent planarization process may be performed to form an interlayer dielectric layer 240 on the epitaxial layer 200. The interlayer dielectric layer 240 covers the gate 230AG1, the gate 230AG2, the conductive component 230BG1, the conductive component 230BG2, the gate 230G, the gate dielectric layer 224A, the dielectric layer 224B, the gate dielectric layer 224C and the oxide layer 222DR. When the gate dielectric layer 224A, the dielectric layer 224B, the gate dielectric layer 224C, the oxide layer 222DR, and the interlayer dielectric layer 240 all include silicon oxide, the interface between the gate dielectric layer 224A, the dielectric layer 224B, the gate dielectric layer 224C, the oxide layer 222DR, and the interlayer dielectric layer 240 is not obvious. In some embodiments, the planarization process includes a chemical mechanical polishing (CMP) process.

接著,如第18圖所示,可進行微影製程,以於磊晶層200上形成例如為光阻圖案的遮罩圖案PR5。遮罩圖案PR5具有位於電極220F1正上方的開口242A1、位於源極區236以及接線摻雜區238正上方的開口242A2,以及位於閘極230G正上方的開口242C。並且,遮罩圖案PR5完全覆蓋第二區410及第四區430的磊晶層200及層間介電層240。Next, as shown in FIG. 18 , a lithography process may be performed to form a mask pattern PR5, such as a photoresist pattern, on the epitaxial layer 200. The mask pattern PR5 has an opening 242A1 located directly above the electrode 220F1, an opening 242A2 located directly above the source region 236 and the wiring doped region 238, and an opening 242C located directly above the gate 230G. Furthermore, the mask pattern PR5 completely covers the epitaxial layer 200 and the interlayer dielectric layer 240 in the second region 410 and the fourth region 430.

接著,如第19圖所示,可進行蝕刻製程,移除從遮罩圖案PR5的開口242A1、242A2(第18圖)暴露出來的層間介電層240及其下的閘極介電層224A、電極220F1和部分磊晶層200,以於第一區400的層間介電層240中形成暴露出電極220F1的開口(接觸孔)244A1,以及暴露出井區234、源極區236和接線摻雜區238的開口(接觸孔)244A2。上述蝕刻製程同時移除從遮罩圖案PR5的開口(接觸孔)242C(第18圖)暴露出來的層間介電層240及其下的部分閘極230G,以於第三區420的閘極230G正上方的部分層間介電層240中形成開口(接觸孔)244C,使閘極230G暴露出來。在一些實施例中,上述蝕刻製程包括乾蝕刻。經過上述蝕刻製程之後,第一區400中殘留的層間介電層240標示為層間介電層240AR,殘留的閘極介電層224A標示為閘極介電層224AR。閘極介電層224AR與閘極230AG1、230AG2一起形成分離閘極結構230AG。並且,第二區410、第三區420、第四區430中殘留的層間介電層240分別標示為層間介電層240BR、層間介電層240CR、層間介電層240DR。形成層間介電層240AR、閘極介電層224AR、層間介電層240BR、層間介電層240CR、層間介電層240DR之後,移除上述遮罩圖案PR5。Next, as shown in FIG. 19 , an etching process may be performed to remove the interlayer dielectric layer 240 and the gate dielectric layer 224A, the electrode 220F1 and a portion of the epitaxial layer 200 thereunder exposed from the openings 242A1 and 242A2 of the mask pattern PR5 ( FIG. 18 ), so as to form an opening (contact hole) 244A1 exposing the electrode 220F1 in the interlayer dielectric layer 240 of the first region 400, as well as an opening (contact hole) 244A2 exposing the well region 234, the source region 236 and the wiring doping region 238. The above etching process simultaneously removes the interlayer dielectric layer 240 and a portion of the gate 230G thereunder exposed from the opening (contact hole) 242C (FIG. 18) of the mask pattern PR5, so as to form an opening (contact hole) 244C in a portion of the interlayer dielectric layer 240 directly above the gate 230G in the third region 420, exposing the gate 230G. In some embodiments, the above etching process includes dry etching. After the above etching process, the interlayer dielectric layer 240 remaining in the first region 400 is labeled as the interlayer dielectric layer 240AR, and the remaining gate dielectric layer 224A is labeled as the gate dielectric layer 224AR. The gate dielectric layer 224AR forms a separate gate structure 230AG together with the gates 230AG1 and 230AG2. In addition, the interlayer dielectric layer 240 remaining in the second region 410, the third region 420, and the fourth region 430 is respectively labeled as the interlayer dielectric layer 240BR, the interlayer dielectric layer 240CR, and the interlayer dielectric layer 240DR. After forming the interlayer dielectric layer 240AR, the gate dielectric layer 224AR, the interlayer dielectric layer 240BR, the interlayer dielectric layer 240CR, and the interlayer dielectric layer 240DR, the mask pattern PR5 is removed.

接著,如第20圖所示,可進行離子植入製程,以於開口(接觸孔)244A2下方的磊晶層200中形成接觸摻雜區245。接觸摻雜區245與井區234具有相同的導電類型,例如為P型接觸摻雜區245。並且,接觸摻雜區245的摻雜濃度大於井區234的摻雜濃度。舉例來說,當井區234為P型井區234時,接觸摻雜區245為P型重摻雜(P+)接觸摻雜區245,以做為井區234的接線摻雜區。進行前述離子植入製程之後,可進行退火製程,以活化井區234、源極區236、接線摻雜區238和接觸摻雜區245中的摻質。在一些實施例中,退火製程包括雷射退火、快速熱退火(RTA) 、其他合適的退火製程或上述之組合。Next, as shown in FIG. 20 , an ion implantation process may be performed to form a contact doping region 245 in the epitaxial layer 200 below the opening (contact hole) 244A2. The contact doping region 245 has the same conductivity type as the well region 234, for example, a P-type contact doping region 245. Furthermore, the doping concentration of the contact doping region 245 is greater than the doping concentration of the well region 234. For example, when the well region 234 is a P-type well region 234, the contact doping region 245 is a P-type heavily doped (P+) contact doping region 245 to serve as a wiring doping region of the well region 234. After the aforementioned ion implantation process, an annealing process may be performed to activate dopants in the well region 234, the source region 236, the wiring doped region 238, and the contact doped region 245. In some embodiments, the annealing process includes laser annealing, rapid thermal annealing (RTA), other suitable annealing processes, or a combination thereof.

接著,如第21圖所示,可進行微影製程,於第一區400、第三區420以及第四區430的磊晶層200上形成例如為光阻圖案的遮罩圖案PR6。遮罩圖案PR6填充開口(接觸孔)244A1、244A2、244C,且使第二區410的層間介電層240BR(第20圖)暴露出來。之後,進行蝕刻製程,移除第二區410的磊晶層200的頂面200T上的層間介電層240BR、介電層224B(第20圖)。經過上述製程之後的介電層224B標示為介電層224BR。經過上述製程之後,使導電部件230BG1和導電部件230BG2的至少一個以及電極220F2從剩餘的層間介電層240AR、240CR、240DR暴露出來。在第21圖所示的實施例中,電極220F2的頂面220F2T、導電部件230BG1的頂面230BG1T和導電部件230BG2的頂面230BG2T從剩餘的層間介電層240AR、240CR、240DR暴露出來。在第1B圖所示的實施例中,最接近第一區400的電極220F2的頂面220F2T和導電部件230BG2的頂面230BG2T從剩餘的層間介電層240AR、240CR、240DR暴露出來。移除第二區410的磊晶層200的頂面200T上的層間介電層240BR(第20圖)之後,去除遮罩圖案PR6。Next, as shown in FIG. 21 , a lithography process may be performed to form a mask pattern PR6, such as a photoresist pattern, on the epitaxial layer 200 of the first region 400, the third region 420, and the fourth region 430. The mask pattern PR6 fills the openings (contact holes) 244A1, 244A2, 244C, and exposes the interlayer dielectric layer 240BR (FIG. 20) of the second region 410. Thereafter, an etching process is performed to remove the interlayer dielectric layer 240BR and the dielectric layer 224B (FIG. 20) on the top surface 200T of the epitaxial layer 200 of the second region 410. The dielectric layer 224B after the above process is labeled as a dielectric layer 224BR. After the above process, at least one of the conductive component 230BG1 and the conductive component 230BG2 and the electrode 220F2 are exposed from the remaining interlayer dielectric layers 240AR, 240CR, and 240DR. In the embodiment shown in FIG. 21 , the top surface 220F2T of the electrode 220F2, the top surface 230BG1T of the conductive component 230BG1, and the top surface 230BG2T of the conductive component 230BG2 are exposed from the remaining interlayer dielectric layers 240AR, 240CR, and 240DR. In the embodiment shown in FIG. 1B , the top surface 220F2T of the electrode 220F2 closest to the first region 400 and the top surface 230BG2T of the conductive component 230BG2 are exposed from the remaining interlayer dielectric layers 240AR, 240CR, 240DR. After removing the interlayer dielectric layer 240BR ( FIG. 20 ) on the top surface 200T of the epitaxial layer 200 of the second region 410, the mask pattern PR6 is removed.

接著,如第22圖所示,可進行沉積製程,以於層間介電層240AR、240CR、240DR上以及第二區410的磊晶層200的頂面200T上形成接觸阻障層246,且使接觸阻障層246順應性沉積(conformably deposited)於開口(接觸孔)244A1、244A2、244C (第20圖)中。第二區410的接觸阻障層246直接接觸未被層間介電層240AR覆蓋的分離導電結構230BG以及電極220F2。在第22圖所示的實施例中,第二區410的接觸阻障層246直接接觸電極220F2的頂面220F2T、導電部件230BG1的頂面230BG1T和導電部件230BG2的頂面230BG2T。在第1B圖所示的實施例中,第二區410的接觸阻障層246直接接觸最接近第一區400的電極220F2的頂面220F2T和導電部件230BG2的頂面230BG2T。Next, as shown in FIG. 22 , a deposition process may be performed to form a contact barrier layer 246 on the interlayer dielectric layers 240AR, 240CR, 240DR and the top surface 200T of the epitaxial layer 200 in the second region 410, and the contact barrier layer 246 is conformably deposited in the openings (contact holes) 244A1, 244A2, 244C ( FIG. 20 ). The contact barrier layer 246 in the second region 410 directly contacts the separated conductive structure 230BG and the electrode 220F2 that are not covered by the interlayer dielectric layer 240AR. In the embodiment shown in FIG. 22 , the contact barrier layer 246 of the second region 410 directly contacts the top surface 220F2T of the electrode 220F2, the top surface 230BG1T of the conductive component 230BG1, and the top surface 230BG2T of the conductive component 230BG2. In the embodiment shown in FIG. 1B , the contact barrier layer 246 of the second region 410 directly contacts the top surface 220F2T of the electrode 220F2 closest to the first region 400 and the top surface 230BG2T of the conductive component 230BG2.

之後,如第22圖所示,於接觸阻障層246的上方沉積接觸導電層(圖未顯示)。接觸導電層填滿開口(接觸孔)244A1、244A2、244C中剩餘的空間。接著,進行移除製程(例如蝕刻製程或平坦化製程),以去除層間介電層240AR、240CR、240DR上以及第二區410的磊晶層200的頂面200T上方的接觸導電層的過量部分,以於開口(接觸孔)244A1、244A2、244C中形成接觸導電層248S1、接觸導電層248S2、接觸導電層248G。接觸導電層248S1、接觸導電層248S2、接觸導電層248G填滿開口(接觸孔)244A1、244A2、244C的剩餘空間。而第二區410的接觸阻障層246未被接觸導電層覆蓋。並且,移除製程並未移除接觸阻障層246。Thereafter, as shown in FIG. 22 , a contact conductive layer (not shown) is deposited on the contact barrier layer 246. The contact conductive layer fills the remaining space in the openings (contact holes) 244A1, 244A2, and 244C. Next, a removal process (e.g., an etching process or a planarization process) is performed to remove excess portions of the contact conductive layer on the interlayer dielectric layers 240AR, 240CR, 240DR and on the top surface 200T of the epitaxial layer 200 in the second region 410, so as to form contact conductive layers 248S1, 248S2, and 248G in the openings (contact holes) 244A1, 244A2, and 244C. The contact conductive layers 248S1, 248S2, and 248G fill the remaining spaces of the openings (contact holes) 244A1, 244A2, and 244C. The contact barrier layer 246 in the second region 410 is not covered by the contact conductive layer. Furthermore, the removal process does not remove the contact barrier layer 246.

接著,如第1A、1B圖所示,可進行沉積製程及後續的圖案化製程,以於第一區400、第二區410的磊晶層200上形成源極金屬層254S,且於第三區420的磊晶層200上形成閘極金屬層254G。上述沉積製程全面性形成金屬層(圖未顯示),上述金屬層覆蓋且物理連接接觸導電層248S1、接觸導電層248S2、接觸導電層248G。上述圖案化製程移除第四區430的金屬層之外,更包括移除第四區430的接觸阻障層246,以於第一區400、第二區410的磊晶層200上形成接觸阻障層246S,且於第三區420的磊晶層200上形成接觸阻障層246G。接觸阻障層246S與接觸阻障層246G彼此隔開。源極金屬層254S直接接觸第一區400、第二區410中的接觸阻障層246S。閘極金屬層254G直接接觸第三區420中的接觸阻障層246G。Next, as shown in FIGS. 1A and 1B, a deposition process and a subsequent patterning process may be performed to form a source metal layer 254S on the epitaxial layer 200 in the first region 400 and the second region 410, and a gate metal layer 254G on the epitaxial layer 200 in the third region 420. The deposition process forms a metal layer (not shown) throughout, and the metal layer covers and physically connects the contact conductive layer 248S1, the contact conductive layer 248S2, and the contact conductive layer 248G. In addition to removing the metal layer in the fourth region 430, the patterning process further includes removing the contact barrier layer 246 in the fourth region 430 to form a contact barrier layer 246S on the epitaxial layer 200 in the first region 400 and the second region 410, and to form a contact barrier layer 246G on the epitaxial layer 200 in the third region 420. The contact barrier layer 246S and the contact barrier layer 246G are separated from each other. The source metal layer 254S directly contacts the contact barrier layer 246S in the first region 400 and the second region 410. The gate metal layer 254G directly contacts the contact barrier layer 246G in the third region 420.

在形成源極金屬層254S、閘極金屬層254G、接觸阻障層246S以及接觸阻障層246G的期間,於第一區400的電極220F1上形成源極接觸250S1(包括接觸阻障層246S以及接觸導電層248S1),於第一區400的井區234、源極區236、接線摻雜區238上形成源極接觸250S2(包括接觸阻障層246S以及接觸導電層248S2) ,且同時於第三區420的閘極230G上形成閘極接觸250G(包括接觸阻障層246G以及接觸導電層248G)。During the formation of the source metal layer 254S, the gate metal layer 254G, the contact barrier layer 246S and the contact barrier layer 246G, a source contact 250S1 (including the contact barrier layer 246S and the contact conductive layer 248S1) is formed on the electrode 220F1 of the first region 400, and a source contact 250S2 (including the contact barrier layer 246S and the contact conductive layer 248S2) is formed on the well region 234, the source region 236, and the wiring doped region 238 of the first region 400. , and at the same time, a gate contact 250G (including a contact barrier layer 246G and a contact conductive layer 248G) is formed on the gate 230G of the third region 420.

如第1A、1B圖所示,源極金屬層254S連續分佈於第一區400以及第二區410的磊晶層200上。源極金屬層254S覆蓋且電性連接源極接觸250S1、250S2。並且,源極金屬層254S覆蓋且電性連接未被層間介電層240AR覆蓋的分離導電結構230BG以及電極220F2。在第1A、1B圖所示的實施例中,第二區410的源極金屬層254S覆蓋且電性連接最接近第一區400的電極220F2的頂面220F2T和導電部件230BG2的頂面230BG2T,以及遠離第一區400的分離導電結構230BG(包括導電部件230BG1、230BG2)以及電極220F2。並且,源極金屬層254S直接接觸第一區400、第二區410的接觸阻障層246S。如第1B圖所示,位於第二區410的源極金屬層254S及其下的接觸阻障層246S可與相鄰兩對分離導電結構230BG之間的磊晶層(飄移區)200整體構成一個蕭特基二極體(Schottky diode)。As shown in FIGS. 1A and 1B , the source metal layer 254S is continuously distributed on the epitaxial layer 200 in the first region 400 and the second region 410 . The source metal layer 254S covers and is electrically connected to the source contacts 250S1 and 250S2 . Furthermore, the source metal layer 254S covers and is electrically connected to the separated conductive structure 230BG and the electrode 220F2 that are not covered by the interlayer dielectric layer 240AR. In the embodiment shown in FIGS. 1A and 1B , the source metal layer 254S of the second region 410 covers and electrically connects the top surface 220F2T of the electrode 220F2 and the top surface 230BG2T of the conductive component 230BG2 closest to the first region 400, as well as the separated conductive structure 230BG (including the conductive components 230BG1 and 230BG2) and the electrode 220F2 far from the first region 400. Furthermore, the source metal layer 254S directly contacts the contact barrier layer 246S of the first region 400 and the second region 410. As shown in FIG. 1B , the source metal layer 254S in the second region 410 and the contact barrier layer 246S thereunder may form a Schottky diode together with the epitaxial layer (drift region) 200 between two adjacent pairs of separated conductive structures 230BG.

如第1A、1B圖所示,閘極金屬層254G覆蓋且電性連接閘極接觸250G。並且,閘極金屬層254G直接接觸第三區的接觸阻障層246G。As shown in FIGS. 1A and 1B , the gate metal layer 254G covers and electrically connects the gate contact 250G. Furthermore, the gate metal layer 254G directly contacts the contact barrier layer 246G in the third region.

在一些實施例中,形成源極金屬層254S、閘極金屬層254G的沉積製程可包括物理氣相沉積製程、化學氣相沉積製程、其他合適的製程、或上述之組合。In some embodiments, the deposition process for forming the source metal layer 254S and the gate metal layer 254G may include a physical vapor deposition process, a chemical vapor deposition process, other suitable processes, or a combination thereof.

可進一步進行後續製程,於碳化矽基板100的底面100B上形成汲極接觸(圖未顯示)。汲極接觸可電性連接碳化矽基板100。經過上述製程,形成半導體裝置500。A subsequent process may be further performed to form a drain contact (not shown) on the bottom surface 100B of the silicon carbide substrate 100. The drain contact may be electrically connected to the silicon carbide substrate 100. After the above process, a semiconductor device 500 is formed.

本揭露一些實施例提供半導體裝置及其形成方法。半導體裝置包括形成於磊晶層的晶胞區(例如第一區400)中的隔離閘極溝槽式金氧半場效電晶體(SGT MOSFET)單元。上述隔離閘極溝槽式金氧半場效電晶體單元具有分離閘極結構。分離閘極結構的兩個閘極(例如閘極230AG1、230AG2)形成在具有場板功能的電極(也可稱為源極電極,例如為電極220F1)的相對側壁上。分離閘極結構可進一步降低整體閘極對汲極電容(C gd)以及回饋電容(C rss= C gd) ,以提高隔離閘極溝槽式金氧半場效電晶體(SGT MOSFET)單元的整體電能轉換效率。上述源極電極除了可以減少閘極對汲極電容(C gd)來改善半導體裝置的開關特性,且可使接近分離閘極結構底部的閘極介電層)電場分佈較為均勻並提升崩潰電壓,以提升閘極介電層的可靠度,也可進一步提高磊晶層(漂移區)的摻雜濃度,以降低隔離閘極溝槽式金氧半場效電晶體(SGT MOSFET)單元的導通電阻(R onsp)。 Some embodiments of the present disclosure provide semiconductor devices and methods for forming the same. The semiconductor device includes an isolated gate trench metal oxide semiconductor field effect transistor (SGT MOSFET) unit formed in a cell region (e.g., first region 400) of an epitaxial layer. The isolated gate trench metal oxide semiconductor field effect transistor unit has a separated gate structure. Two gates (e.g., gates 230AG1 and 230AG2) of the separated gate structure are formed on opposite side walls of an electrode having a field plate function (also referred to as a source electrode, such as electrode 220F1). The split gate structure can further reduce the overall gate-to-drain capacitance (C gd ) and feedback capacitance (C rss = C gd ) to improve the overall power conversion efficiency of the isolated gate trench metal oxide semiconductor field effect transistor (SGT MOSFET) unit. The above-mentioned source electrode can not only reduce the gate-to-drain capacitance ( Cgd ) to improve the switching characteristics of the semiconductor device, but also make the electric field distribution of the gate dielectric layer near the bottom of the split gate structure more uniform and increase the breakdown voltage to improve the reliability of the gate dielectric layer. It can also further increase the doping concentration of the epitaxial layer (drift region) to reduce the on-resistance ( Ronsp ) of the isolated gate trench metal oxide semiconductor field effect transistor (SGT MOSFET) unit.

本揭露實施例的半導體裝置更包括形成於溝槽式蕭特基二極體區(例如第二區410)的蕭特基二極體(Schottky diode)。上述蕭特基二極體由磊晶層(例如磊晶層200)、接觸阻障層(例如接觸阻障層246S)、源極金屬層(例如源極金屬層254S) 構成。上述蕭特基二極體可與上述隔離閘極溝槽式金氧半場效電晶體單元並聯,以使基體二極體(body diode)失能,進而降低導通電阻和減少功率損失,改善半導體裝置的開關特性。The semiconductor device of the disclosed embodiment further includes a Schottky diode formed in the trench Schottky diode region (e.g., the second region 410). The Schottky diode is composed of an epitaxial layer (e.g., the epitaxial layer 200), a contact barrier layer (e.g., the contact barrier layer 246S), and a source metal layer (e.g., the source metal layer 254S). The Schottky diode can be connected in parallel with the isolation gate trench MOSFET unit to disable the body diode, thereby reducing the on-resistance and power loss, and improving the switching characteristics of the semiconductor device.

在一些實施例中,上述蕭特基二極體夾設於相鄰兩對溝槽式的分離導電結構(例如分離導電結構230BG)之間,因而可稱為溝槽式蕭特基二極體(TMBS)。因此,本揭露的蕭特基二極體的反向漏電流可受到溝槽式的分離導電結構之夾止,會具有更低的漏電流。在一些實施例中,溝槽式的分離導電結構的兩個導電部件(例如導電部件230BG1、230BG2)形成在具有場板功能的另一電極(也可稱為源極電極,例如為電極220F2)的相對側壁上。在一些實施例中,插入上述兩個導電部件中的另一個源極電極也具有場板功能,使蕭特基二極體的磊晶層(漂移區)的電場分佈較為均勻。因此,可進一步提高磊晶層(漂移區)的摻雜濃度,以進一步降低溝槽式蕭特基二極體的順向壓降(V F)。因此,本揭露的蕭特基二極體除了可以改善半導體裝置的開關特性,還可進一步降低蕭特基二極體本身的順向壓降(V F)及反向漏電流。 In some embodiments, the Schottky diode is sandwiched between two adjacent pairs of trench-type separated conductive structures (e.g., separated conductive structures 230BG), and thus can be referred to as a trench-type Schottky diode (TMBS). Therefore, the reverse leakage current of the Schottky diode disclosed herein can be stopped by the trench-type separated conductive structures, and can have a lower leakage current. In some embodiments, two conductive components (e.g., conductive components 230BG1, 230BG2) of the trench-type separated conductive structures are formed on opposite side walls of another electrode (also referred to as a source electrode, such as electrode 220F2) having a field plate function. In some embodiments, the other source electrode inserted into the two conductive components also has a field plate function, so that the electric field distribution of the epitaxial layer (drift region) of the Schottky diode is more uniform. Therefore, the doping concentration of the epitaxial layer (drift region) can be further increased to further reduce the forward voltage drop (V F ) of the trench Schottky diode. Therefore, the Schottky diode disclosed in the present invention can not only improve the switching characteristics of the semiconductor device, but also further reduce the forward voltage drop (V F ) and reverse leakage current of the Schottky diode itself.

雖然本發明以前述之實施例揭露如上,然其並非用以限定本發明。本發明所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可做些許之更動與潤飾。因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。Although the present invention is disclosed as above by the aforementioned embodiments, they are not intended to limit the present invention. Those with ordinary knowledge in the technical field to which the present invention belongs may make some changes and modifications without departing from the spirit and scope of the present invention. Therefore, the scope of protection of the present invention shall be subject to the scope defined by the attached patent application.

100:碳化矽基板 100T,200T,216T,216ART,216BRT,216CRT,220AT,220BT,220CT,220DT,220ART,220BRT,220CRT,220DRT,220F1T,220F2T,220F3T,224BRT,230AG1T,230AG2T,230BG1T,230BG2T,220F3T:頂面 100B,212A-B,212B-B,212C-B,212D-B,234B:底面 200:磊晶層 210:遮罩層 210P:遮罩圖案 212A,212B,212C,212D:溝槽 212A-S,212B-S,212C-S,212D-S,220F1S,220F2S,220F3S,240AS:側壁 216,216AR,216BR,216CR,216DR:遮蔽介電層 220A,220B,220C,220D,220AR,220BR,220CR,220DR:導電材料 220AR-1,220BR-1,220CR-1:上部 220F1,220F2,220F3,220F4:電極 220F1-1,220AR-1,220BR-1,220CR-1:頂部 222,222DR:氧化層 222T1,222T2:上表面 224A,224C,224A-1,224A-2,224C-1,224C-2,224AR,224C:閘極介電層 224B,224B-1,224B-2,224BR:介電層 230:電極材料 230AG:分離閘極結構 230BG:分離導電結構 230AG1,230AG2,230G:閘極 230BG1,230BG2:導電部件 234:井區 236:源極區 238:接線摻雜區 240,240AR,240BR,240CR,240DR:層間介電層 242A1,242A2,242C,244A1,244A2,244C:開口 245:接觸摻雜區 246,246S,246G:接觸阻障層 248S1,248S2,248G:接觸導電層 250G:閘極接觸 250S1,250S2:源極接觸 254S:源極金屬層 254G:閘極金屬層 300,310,320:方向 400:第一區 400M:台面區域 410:第二區 420:第三區 430:第四區 500:半導體裝置 PR1,PR2,PR3,PR4,PR5,PR6:遮罩圖案 W1,W2,W3,W4,W5,W6,W7:寬度 100: Silicon carbide substrate 100T, 200T, 216T, 216ART, 216BRT, 216CRT, 220AT, 220BT, 220CT, 220DT, 220ART, 220BRT, 220CRT, 220DRT, 220F1T, 220F2T, 220F3T, 224BRT, 230AG1T, 230AG2T, 230BG1T, 230BG2T, 220F3T: Top surface 100B, 212A-B, 212B-B, 212C-B, 212D-B, 234B: Bottom surface 200: Epitaxial layer 210: Mask layer 210P: Mask pattern 212A, 212B, 212C, 212D: Grooves 212A-S, 212B-S, 212C-S, 212D-S, 220F1S, 220F2S, 220F3S, 240AS: Sidewalls 216, 216AR, 216BR, 216CR, 216DR: Shielding dielectric layer 220A, 220B, 220C, 220D, 220AR, 220BR, 220CR, 220DR: Conductive material 220AR-1, 220BR-1, 220CR-1: Top 220F1, 220F2, 220F3, 220F4: Electrodes 220F1-1,220AR-1,220BR-1,220CR-1: Top 222,222DR: Oxide layer 222T1,222T2: Top surface 224A,224C,224A-1,224A-2,224C-1,224C-2,224AR,224C: Gate dielectric layer 224B,224B-1,224B-2,224BR: Dielectric layer 230: Electrode material 230AG: Separate gate structure 230BG: Separate conductive structure 230AG1,230AG2,230G: Gate 230BG1, 230BG2: Conductive component 234: Well area 236: Source area 238: Wiring doping area 240, 240AR, 240BR, 240CR, 240DR: Interlayer dielectric layer 242A1, 242A2, 242C, 244A1, 244A2, 244C: Opening 245: Contact doping area 246, 246S, 246G: Contact barrier layer 248S1, 248S2, 248G: Contact conductive layer 250G: Gate contact 250S1, 250S2: Source contact 254S: Source metal layer 254G: Gate metal layer 300,310,320: Direction 400: First area 400M: Mesa area 410: Second area 420: Third area 430: Fourth area 500: Semiconductor device PR1,PR2,PR3,PR4,PR5,PR6: Mask pattern W1,W2,W3,W4,W5,W6,W7: Width

當與所附圖式一起閱讀時,從以下詳細描述中可以更加理解本發明實施例的觀點。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1A、1B圖為本發明一些實施例之半導體裝置的剖面示意圖。 第2、3、4、5、6、7、8、9、10、11、12、13、14、15、16、17、18、19、20、21、22圖為形成第1A、1B圖所示的本發明的一些實施例之半導體裝置的中間階段的剖面示意圖。 第23圖為形成第1A、1B圖所示的本發明的一些實施例之半導體裝置的中間階段的上視示意圖,其顯示井區上方的源極區以及接線摻雜區的配置。 When read in conjunction with the accompanying drawings, the following detailed description will provide a better understanding of the concepts of the embodiments of the present invention. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale and are provided for illustration purposes only. In fact, the dimensions of the components may be arbitrarily enlarged or reduced to clearly illustrate the features of the embodiments of the present invention. Figures 1A and 1B are schematic cross-sectional views of semiconductor devices of some embodiments of the present invention. Figures 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, and 22 are schematic cross-sectional views of intermediate stages of forming semiconductor devices of some embodiments of the present invention shown in Figures 1A and 1B. FIG. 23 is a top view schematic diagram of the intermediate stage of forming the semiconductor device of some embodiments of the present invention shown in FIGS. 1A and 1B, showing the configuration of the source region and the wiring doping region above the well region.

100:碳化矽基板 100: Silicon carbide substrate

100T,200T,224BRT,230AG1T,230AG2T,230BG1T,230BG2T:頂面 100T, 200T, 224BRT, 230AG1T, 230AG2T, 230BG1T, 230BG2T: Top

100B:底面 100B: Bottom

200:磊晶層 200: Epitaxial layer

216AR,216BR:遮蔽介電層 216AR, 216BR: shielding dielectric layer

220F1,220F2:電極 220F1,220F2:Electrode

220F1S,220F2S,240AS:側壁 220F1S, 220F2S, 240AS: Side wall

224AR:閘極介電層 224AR: Gate dielectric layer

224BR:介電層 224BR: Dielectric layer

230AG:分離閘極結構 230AG: Split gate structure

230BG:分離導電結構 230BG: Separated conductive structure

230AG1,230AG2:閘極 230AG1,230AG2: Gate

230BG1,230BG2:導電部件 230BG1,230BG2: Conductive parts

234:井區 234: Well area

236:源極區 236: Source region

238:接線摻雜區 238: Wiring mixed area

240AR:層間介電層 240AR: Interlayer dielectric layer

245:接觸摻雜區 245: Contact doping area

246S:接觸阻障層 246S: Contact barrier

250S1,250S2:源極接觸 250S1,250S2: Source contact

254S:源極金屬層 254S: Source metal layer

300,310,320:方向 300,310,320: Direction

400:第一區 400: District 1

410:第二區 410: District 2

Claims (20)

一種半導體裝置,包括: 一碳化矽基板,該碳化矽基板具有一第一區,且具有一第一導電類型; 一磊晶層,設置於該碳化矽基板的一頂面上,其中該磊晶層具有該第一導電類型; 一第一電極,設置於該第一區的該磊晶層中,且沿一第一方向延伸,其中該第一電極具有一第一上部及一第一下部,且該第一上部的一第一寬度小於該第一下部的一第二寬度; 一分離導電結構,設置於該第一區的該磊晶層中,其中該分離導電結構包括: 彼此分離的一第一導電部件和一第二導電部件,位於該第一電極的相對側壁上;以及 一源極金屬層,設置於該第一區的該磊晶層上,其中該源極金屬層覆蓋且電性連接該第一導電部件、該第二導電部件以及該第一電極。 A semiconductor device comprises: a silicon carbide substrate having a first region and a first conductivity type; an epitaxial layer disposed on a top surface of the silicon carbide substrate, wherein the epitaxial layer has the first conductivity type; a first electrode disposed in the epitaxial layer of the first region and extending along a first direction, wherein the first electrode has a first upper portion and a first lower portion, and a first width of the first upper portion is smaller than a second width of the first lower portion; a separated conductive structure disposed in the epitaxial layer of the first region, wherein the separated conductive structure comprises: a first conductive component and a second conductive component separated from each other, located on opposite side walls of the first electrode; and A source metal layer is disposed on the epitaxial layer of the first region, wherein the source metal layer covers and electrically connects the first conductive component, the second conductive component and the first electrode. 如請求項1所述之半導體裝置,更包括: 一接觸阻障層,設置於該第一區的該磊晶層上,其中該接觸阻障層與該第一電極、該第一導電部件和該第二導電部件的至少一個與該源極金屬層直接接觸。 The semiconductor device as described in claim 1 further comprises: A contact barrier layer disposed on the epitaxial layer in the first region, wherein the contact barrier layer is in direct contact with at least one of the first electrode, the first conductive component, and the second conductive component and the source metal layer. 如請求項1所述之半導體裝置,其中該碳化矽基板具有一第二區,且該半導體裝置更包括: 一井區,位於該第二區的該磊晶層中,且該井區具有一第二導電類型; 一第二電極,設置於該第二區的該磊晶層中,且沿該第一方向延伸; 一分離閘極結構,設置於該第二區的該磊晶層中,其中該分離閘極結構包括: 彼此分離的一第一閘極和一第二閘極,位於該第二電極的相對側壁上; 一層間介電層,設置於該第二區的該磊晶層上;以及 多個源極接觸,貫穿該第二區的該層間介電層,且延伸至該第二電極和該井區中,其中該源極金屬層覆蓋且電性連接該些源極接觸。 A semiconductor device as described in claim 1, wherein the silicon carbide substrate has a second region, and the semiconductor device further includes: A well region, located in the epitaxial layer of the second region, and the well region has a second conductivity type; A second electrode, disposed in the epitaxial layer of the second region and extending along the first direction; A separate gate structure, disposed in the epitaxial layer of the second region, wherein the separate gate structure includes: A first gate and a second gate separated from each other, disposed on opposite side walls of the second electrode; An interlayer dielectric layer, disposed on the epitaxial layer of the second region; and A plurality of source contacts penetrate the inter-dielectric layer of the second region and extend into the second electrode and the well region, wherein the source metal layer covers and electrically connects the source contacts. 如請求項3所述之半導體裝置,其中該第一電極從該層間介電層暴露出來。A semiconductor device as described in claim 3, wherein the first electrode is exposed from the interlayer dielectric layer. 如請求項3所述之半導體裝置,其中該第一導電部件以及該第二導電部件中的至少一個從該層間介電層暴露出來。A semiconductor device as described in claim 3, wherein at least one of the first conductive component and the second conductive component is exposed from the interlayer dielectric layer. 如請求項3所述之半導體裝置,更包括: 一第一介電層,設置於該第一區的該磊晶層中,且包圍該第一導電部件和該第二導電部件,其中該第一電極、該第一導電部件以及該第二導電部件從該第一介電層的一頂面暴露出來, 其中該分離閘極結構更包括: 一第一閘極介電層,設置於該第二區的該磊晶層中,且包圍該第一閘極和該第二閘極,其中該層間介電層覆蓋該第一閘極介電層。 The semiconductor device as described in claim 3 further includes: A first dielectric layer disposed in the epitaxial layer of the first region and surrounding the first conductive component and the second conductive component, wherein the first electrode, the first conductive component and the second conductive component are exposed from a top surface of the first dielectric layer, wherein the separated gate structure further includes: A first gate dielectric layer disposed in the epitaxial layer of the second region and surrounding the first gate and the second gate, wherein the interlayer dielectric layer covers the first gate dielectric layer. 如請求項6所述之半導體裝置,其中該層間介電層的一側壁位於接近該第一電極的該第一介電層上。A semiconductor device as described in claim 6, wherein a side wall of the interlayer dielectric layer is located on the first dielectric layer close to the first electrode. 如請求項3所述之半導體裝置,更包括: 多個源極區以及多個接線摻雜區,位於該第二區中的該井區上,且接近於該磊晶層的一頂面,其中該些源極區以及該些接線摻雜區沿一第三方向交錯排列,且具有相反的導電類型, 其中該些源極接觸連接該些源極區以及該些接線摻雜區。 The semiconductor device as described in claim 3 further includes: A plurality of source regions and a plurality of wiring doping regions, located on the well region in the second region and close to a top surface of the epitaxial layer, wherein the source regions and the wiring doping regions are arranged alternately along a third direction and have opposite conductivity types, wherein the source contacts connect the source regions and the wiring doping regions. 如請求項8所述之半導體裝置,其中該層間介電層覆蓋該些源極區以及該些接線摻雜區。A semiconductor device as described in claim 8, wherein the interlayer dielectric layer covers the source regions and the wiring doping regions. 如請求項3所述之半導體裝置,其中該碳化矽基板具有一第三區以及一第四區,且該半導體裝置更包括: 一第三電極,設置於該第三區的該磊晶層中,且沿該第一方向延伸,其中該第三電極電性連接該源極接觸; 一第三閘極,設置於該第三區的該磊晶層中,且連接該分離閘極結構,其中該第三閘極從該第三電極的相對側壁延伸覆蓋該第三電極的一第三電極頂面以及該磊晶層的一頂面; 一閘極接觸,從該第三區的該磊晶層上方延伸至該第三閘極中,且電性連接該第三閘極; 一閘極金屬層,設置於該第三區的該磊晶層上,其中該閘極金屬層覆蓋且電性連接該閘極接觸;以及 一第四電極,設置於該第四區的該磊晶層中,且沿該第一方向延伸,其中該第四電極電性連接該源極接觸, 其中該井區位於該第三區以及該第四區的該磊晶層中,且該層間介電層覆蓋該第三電極以及該第四電極。 A semiconductor device as described in claim 3, wherein the silicon carbide substrate has a third region and a fourth region, and the semiconductor device further comprises: a third electrode disposed in the epitaxial layer of the third region and extending along the first direction, wherein the third electrode is electrically connected to the source contact; a third gate disposed in the epitaxial layer of the third region and connected to the separated gate structure, wherein the third gate extends from the opposite sidewalls of the third electrode to cover a third electrode top surface of the third electrode and a top surface of the epitaxial layer; a gate contact extending from above the epitaxial layer of the third region into the third gate and electrically connected to the third gate; A gate metal layer is disposed on the epitaxial layer of the third region, wherein the gate metal layer covers and is electrically connected to the gate contact; and A fourth electrode is disposed in the epitaxial layer of the fourth region and extends along the first direction, wherein the fourth electrode is electrically connected to the source contact, wherein the well region is located in the epitaxial layer of the third region and the fourth region, and the interlayer dielectric layer covers the third electrode and the fourth electrode. 一種半導體裝置的形成方法,包括: 提供一碳化矽基板,該碳化矽基板具有一第一區,且具有一第一導電類型; 於該碳化矽基板的一頂面上成長一磊晶層,其中該磊晶層具有該第一導電類型; 沿一第一方向在該第一區的該磊晶層中形成一第一溝槽; 於該第一溝槽中形成一第一電極,其中該第一電極沿該第一方向延伸; 於該第一電極的相對側壁上形成一分離導電結構,其中該分離導電結構包括彼此分離的一第一導電部件和一第二導電部件; 全面性形成一層間介電層; 移除該第一區的該磊晶層的一頂面上的該層間介電層,使該第一導電部件和該第二導電部件的至少一個以及該第一電極從剩餘的該層間介電層暴露出來;以及 於該第一區的該磊晶層上形成一源極金屬層,其中該源極金屬層覆蓋且電性連接該第一電極、該第一導電部件以及該第二導電部件。 A method for forming a semiconductor device, comprising: Providing a silicon carbide substrate, the silicon carbide substrate having a first region and a first conductivity type; Growing an epitaxial layer on a top surface of the silicon carbide substrate, wherein the epitaxial layer has the first conductivity type; Forming a first trench in the epitaxial layer in the first region along a first direction; Forming a first electrode in the first trench, wherein the first electrode extends along the first direction; Forming a separate conductive structure on opposite side walls of the first electrode, wherein the separate conductive structure includes a first conductive component and a second conductive component separated from each other; Forming an interlayer dielectric layer comprehensively; Removing the inter-layer dielectric layer on a top surface of the epitaxial layer of the first region, so that at least one of the first conductive component and the second conductive component and the first electrode are exposed from the remaining inter-layer dielectric layer; and Forming a source metal layer on the epitaxial layer of the first region, wherein the source metal layer covers and electrically connects the first electrode, the first conductive component and the second conductive component. 如請求項11所述之半導體裝置的形成方法,更包括: 形成該第一溝槽之前,於該碳化矽基板的一第二區內的該磊晶層中形成一井區,且該井區具有一第二導電類型; 形成該第一溝槽的期間,沿該第一方向於該第二區的該磊晶層中形成一第二溝槽; 形成該第一電極的期間,於該第二溝槽中形成一第二電極; 形成該分離導電結構的期間,於該第二電極的相對側壁上一第一閘極和一第二閘極;以及 於該第二區的該井區上形成多個源極區以及多個接線摻雜區,其中該些源極區以及該些接線摻雜區沿一第三方向交錯排列,且具有相反的導電類型; 對該層間介電層進行一圖案化製程,於該第二區的該層間介電層中形成多個第一開口,以分別暴露出該第二電極、該些源極區以及該些接線摻雜區;以及 形成該源極金屬層的期間,於該些第一開口中形成多個源極接觸。 The method for forming a semiconductor device as described in claim 11 further includes: Before forming the first trench, a well region is formed in the epitaxial layer in a second region of the silicon carbide substrate, and the well region has a second conductivity type; During the formation of the first trench, a second trench is formed in the epitaxial layer in the second region along the first direction; During the formation of the first electrode, a second electrode is formed in the second trench; During the formation of the separated conductive structure, a first gate and a second gate are formed on opposite side walls of the second electrode; and A plurality of source regions and a plurality of wiring doped regions are formed on the well region of the second region, wherein the source regions and the wiring doped regions are arranged in a staggered manner along a third direction and have opposite conductivity types; A patterning process is performed on the interlayer dielectric layer to form a plurality of first openings in the interlayer dielectric layer of the second region to expose the second electrode, the source regions and the wiring doped regions respectively; and During the formation of the source metal layer, a plurality of source contacts are formed in the first openings. 如請求項12所述之半導體裝置的形成方法,其中移除該第一區的該磊晶層的該頂面上的該層間介電層之前進行該圖案化製程。A method for forming a semiconductor device as described in claim 12, wherein the patterning process is performed before removing the interlayer dielectric layer on the top surface of the epitaxial layer in the first region. 如請求項13所述之半導體裝置的形成方法,更包括: 形成該第一溝槽的期間,沿該第一方向分別於該碳化矽基板的一第三區以及一第四區內的該磊晶層中形成一第三溝槽以及一第四溝槽; 形成該第一電極的期間,分別於該第三溝槽以及該第四溝槽中形成一第三電極以及一第四電極; 形成該分離導電結構的期間,於該第三溝槽中形成一第三閘極; 進行該圖案化製程的期間,於該第三區的該層間介電層中形成一第二開口,以暴露出該第三閘極;以及 形成該源極金屬層之前,於該第二開口中形成一閘極接觸。 The method for forming a semiconductor device as described in claim 13 further includes: During the formation of the first trench, a third trench and a fourth trench are formed in the epitaxial layer in a third region and a fourth region of the silicon carbide substrate along the first direction; During the formation of the first electrode, a third electrode and a fourth electrode are formed in the third trench and the fourth trench respectively; During the formation of the separated conductive structure, a third gate is formed in the third trench; During the patterning process, a second opening is formed in the interlayer dielectric layer in the third region to expose the third gate; and Before forming the source metal layer, a gate contact is formed in the second opening. 如請求項14所述之半導體裝置的形成方法,更包括: 形成該些第一開口以及該第二開口之後,於該第二區、該第三區以及該第四區的磊晶層上形成一遮罩圖案,其中該遮罩圖案填充該些第一開口以及該第二開口;以及 移除該第一區的該磊晶層的該頂面上的該層間介電層之後,去除該遮罩圖案。 The method for forming a semiconductor device as described in claim 14 further includes: After forming the first openings and the second openings, forming a mask pattern on the epitaxial layer of the second region, the third region, and the fourth region, wherein the mask pattern fills the first openings and the second openings; and After removing the interlayer dielectric layer on the top surface of the epitaxial layer of the first region, removing the mask pattern. 如請求項14所述之半導體裝置的形成方法,其中形成該源極接觸以及該閘極接觸包括: 於該磊晶層上、該些第一開口以及該第二開口中順應性形成一接觸阻障層,其中該接觸阻障層且直接接觸該第一導電部件和該第二導電部件的至少一個、該第一電極、該第二電極、以及該第三閘極; 於該些第一開口以及該第二開口中填充一接觸導電層;以及 移除該第四區中的該接觸阻障層,其中該源極金屬層直接接觸該第一區和該第二區中的該接觸阻障層。 A method for forming a semiconductor device as described in claim 14, wherein forming the source contact and the gate contact comprises: Conformally forming a contact barrier layer on the epitaxial layer, in the first openings and the second openings, wherein the contact barrier layer directly contacts at least one of the first conductive component and the second conductive component, the first electrode, the second electrode, and the third gate; Filling a contact conductive layer in the first openings and the second openings; and Removing the contact barrier layer in the fourth region, wherein the source metal layer directly contacts the contact barrier layer in the first region and the second region. 如請求項16所述之半導體裝置的形成方法,其中形成該源極金屬層包括: 全面性形成一金屬層,該金屬層覆蓋且物理連接該接觸導電層;以及 移除該第四區中的該金屬層,以於該第一區以及該第二區的該磊晶層上形成該源極金屬層,且於該第三區的該磊晶層上形成一閘極金屬層,其中該源極金屬層連續分佈於該第一區以及該第二區的該磊晶層上。 A method for forming a semiconductor device as described in claim 16, wherein forming the source metal layer comprises: forming a metal layer throughout, the metal layer covering and physically connecting the contact conductive layer; and removing the metal layer in the fourth region to form the source metal layer on the epitaxial layer in the first region and the second region, and forming a gate metal layer on the epitaxial layer in the third region, wherein the source metal layer is continuously distributed on the epitaxial layer in the first region and the second region. 如請求項14所述之半導體裝置的形成方法,其中形成該第一電極、該第二電極、該第三電極以及該第四電極包括: 於該第一溝槽、該第二溝槽、該第三溝槽以及該第四溝槽中形成一第一導電材料、一第二導電材料、一第三導電材料以及一第四導電材料,其中該第一導電材料、該第二導電材料、該第三導電材料以及該第四導電材料包括相同的材料; 於該第四導電材料上形成一氧化層;以及 進行一氧化製程,於該第一溝槽、該第二溝槽以及該第三溝槽中形成一第一介電層、一第一閘極介電層以及一第二閘極介電層,其中形成該第一介電層、該第一閘極介電層以及該第二閘極介電層包括部分氧化該第一導電材料的一第一上部、該第二導電材料的一第二上部以及該第三導電材料的一第三上部,且未氧化的該第一導電材料、該第二導電材料、該第三導電材料以及該第四導電材料料分別形成該第一電極、該第二電極、該第三電極以及該第四電極。 The method for forming a semiconductor device as described in claim 14, wherein forming the first electrode, the second electrode, the third electrode and the fourth electrode comprises: forming a first conductive material, a second conductive material, a third conductive material and a fourth conductive material in the first trench, the second trench, the third trench and the fourth trench, wherein the first conductive material, the second conductive material, the third conductive material and the fourth conductive material comprise the same material; forming an oxide layer on the fourth conductive material; and An oxidation process is performed to form a first dielectric layer, a first gate dielectric layer and a second gate dielectric layer in the first trench, the second trench and the third trench, wherein the formation of the first dielectric layer, the first gate dielectric layer and the second gate dielectric layer includes partially oxidizing a first upper portion of the first conductive material, a second upper portion of the second conductive material and a third upper portion of the third conductive material, and the unoxidized first conductive material, the second conductive material, the third conductive material and the fourth conductive material form the first electrode, the second electrode, the third electrode and the fourth electrode respectively. 如請求項18所述之半導體裝置的形成方法,更包括: 形成該第一電極、該第二電極、該第三電極以及該第四電極之後,於該磊晶層上全面性形成一電極材料,其中該電極材料填充於該第一溝槽、該第二溝槽以及該第三溝槽;以及 進行一圖案化製程,移除該第一區、該第二區以及該第四區的該磊晶層上方的部分該電極材料,以於該第一溝槽中形成該第一導電部件和該第二導電部件,於該第二溝槽中形成成該第一閘極和該第二閘極,且於該第三溝槽中形成該第三閘極。 The method for forming a semiconductor device as described in claim 18 further includes: After forming the first electrode, the second electrode, the third electrode and the fourth electrode, forming an electrode material on the epitaxial layer in an all-round manner, wherein the electrode material fills the first trench, the second trench and the third trench; and performing a patterning process to remove a portion of the electrode material above the epitaxial layer in the first region, the second region and the fourth region to form the first conductive component and the second conductive component in the first trench, the first gate and the second gate in the second trench, and the third gate in the third trench. 如請求項18所述之半導體裝置的形成方法,其中進行該圖案化製程之後,該層間介電層的一側壁位於接近該第一電極的該第一介電層上。A method for forming a semiconductor device as described in claim 18, wherein after the patterning process, a side wall of the interlayer dielectric layer is located on the first dielectric layer close to the first electrode.
TW113106482A 2024-02-23 2024-02-23 Semiconductor device and method for forming the same TWI882699B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW113106482A TWI882699B (en) 2024-02-23 2024-02-23 Semiconductor device and method for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW113106482A TWI882699B (en) 2024-02-23 2024-02-23 Semiconductor device and method for forming the same

Publications (2)

Publication Number Publication Date
TWI882699B true TWI882699B (en) 2025-05-01
TW202535167A TW202535167A (en) 2025-09-01

Family

ID=96581830

Family Applications (1)

Application Number Title Priority Date Filing Date
TW113106482A TWI882699B (en) 2024-02-23 2024-02-23 Semiconductor device and method for forming the same

Country Status (1)

Country Link
TW (1) TWI882699B (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020008284A1 (en) * 2000-07-20 2002-01-24 Fairchild Semiconductor Corporation Power mosfet and method for forming same using a self-aligned body implant
US20090008709A1 (en) * 2003-05-20 2009-01-08 Yedinak Joseph A Power Semiconductor Devices with Trenched Shielded Split Gate Transistor and Methods of Manufacture
CN114678413A (en) * 2022-03-25 2022-06-28 电子科技大学 High-reliability silicon carbide MOSFET (Metal-oxide-semiconductor field Effect transistor) device integrating P-type channel

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020008284A1 (en) * 2000-07-20 2002-01-24 Fairchild Semiconductor Corporation Power mosfet and method for forming same using a self-aligned body implant
US20090008709A1 (en) * 2003-05-20 2009-01-08 Yedinak Joseph A Power Semiconductor Devices with Trenched Shielded Split Gate Transistor and Methods of Manufacture
CN114678413A (en) * 2022-03-25 2022-06-28 电子科技大学 High-reliability silicon carbide MOSFET (Metal-oxide-semiconductor field Effect transistor) device integrating P-type channel

Also Published As

Publication number Publication date
TW202535167A (en) 2025-09-01

Similar Documents

Publication Publication Date Title
KR102821829B1 (en) Semiconductor device and method for manufacturing the same
US11271104B2 (en) Composite etch stop layer for contact field plate etching
TWI719430B (en) Integrated chip and method of forming the same
TWI748271B (en) Integrated chip and method of forming the same
KR20210145711A (en) Semiconductor devices and methods of manufacturing the same
KR102486469B1 (en) Semiconductor device
US12414325B2 (en) Methods and structures for contacting shield conductor in a semiconductor device
KR20200116178A (en) Semiconductor device and method for manufacturing the same
CN110767749A (en) Semiconductor structure and method of forming the same
TWI862422B (en) Semiconductor device and method for forming the same
TWI882699B (en) Semiconductor device and method for forming the same
TWI823639B (en) Semiconductor device and methods for forming the same
TWI882698B (en) Semiconductor device and method for forming the same
US20250374595A1 (en) Semiconductor device and method for forming the same
CN120640734A (en) Semiconductor device and method for forming the same
US20250374627A1 (en) Semiconductor device and method for forming the same
US20250374596A1 (en) Semiconductor device and method for forming the same
TW202209676A (en) Trench mos transistor device and method of manufacturing the same
US9070564B2 (en) Semiconductor device having mixedly mounted components with common film layers and method of manufacturing the same
CN120614848A (en) Semiconductor device and method for forming the same
EP4648106A1 (en) Three-dimensional semiconductor device and method of fabricating the same
TWI894845B (en) Semiconductor device and methods for forming the same
CN120614849A (en) Semiconductor device and method for forming the same
US20250234621A1 (en) Semiconductor device and method of manufacturing the same
EP4391071A1 (en) Vertical semiconductor power device and method for manufacturing the same