[go: up one dir, main page]

TWI852911B - 熱阻流桿、電漿處理系統及射頻源連接方法 - Google Patents

熱阻流桿、電漿處理系統及射頻源連接方法 Download PDF

Info

Publication number
TWI852911B
TWI852911B TW107130798A TW107130798A TWI852911B TW I852911 B TWI852911 B TW I852911B TW 107130798 A TW107130798 A TW 107130798A TW 107130798 A TW107130798 A TW 107130798A TW I852911 B TWI852911 B TW I852911B
Authority
TW
Taiwan
Prior art keywords
joint
rod
resistance flow
plasma processing
thermal resistance
Prior art date
Application number
TW107130798A
Other languages
English (en)
Other versions
TW201931425A (zh
Inventor
提摩西 S 湯瑪士
文斯 柏卡特
喬爾 霍林斯沃思
大衛 弗倫奇
戴米恩 斯列文
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201931425A publication Critical patent/TW201931425A/zh
Application granted granted Critical
Publication of TWI852911B publication Critical patent/TWI852911B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

將射頻源與電漿處理系統之基板支架連接的熱阻流桿包含管狀部件, 管狀部件具有第一接頭與第二接頭,第一接頭用以連接至與基板支架耦合的RF桿,第二接頭用以連接至與RF源耦合的RF搭接部。管狀區段係在第一與第二接頭之間延伸。第一接頭具有錐形末端區域以及切口,該錐形末端區域在朝向管狀區段之方向上遠離第一接頭的內表面而往外表面傾斜,該等切口係從第一接頭之一終端延伸一指定距離。管狀區段的外表面具有螺紋區域,該螺紋區域係用於與環狀蓋部進行螺紋囓合,該環狀蓋部係安裝在第一接頭之上並且在與第一接頭的錐形末端區域接觸時降低第一接頭的內徑。

Description

熱阻流桿、電漿處理系統及射頻源連接方法
本發明係關於一種具有整體式熱阻流部的高溫射頻連接。
最新一代的電漿增強化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)製程需要增加的高頻電功率。此種增加的功率需求超出了既有電接頭與導體的極限。高周圍溫度與因電流通過導體及連接部所引起的發熱導致產生超出常用構件之能力的溫度條件。
用於某些現行PECVD製程的電連接部係使用螺紋與預負載彈簧墊圈。螺紋電連接部的一限制為,螺紋提供了不佳、不可重複的電接觸區。此引起更多的連接部之電阻性發熱。螺紋電連接部的另一限制為,射頻(RF,radio frequency)基座桿的螺紋極為易碎並且僅能夠以一輕扭矩栓緊,否則會損壞螺紋。此種輕扭矩無法提供高功率電連接部所需的電接觸。
其他市售的電接頭係依靠彈簧力來產生電接觸。這些接頭可被使用於達到攝氏約150至200度的溫度。在需要增加之高頻功率的PECVD製程中,由於陶瓷基座一般被使用在此種製程中,所以於其中電接頭必須起作用的環境可在攝氏300到350度的範圍內。
實施例乃係在此種背景之下產生。
在一示範實施例中,一種熱阻流桿,用於將一射頻(RF)源與一電漿處理系統的一基板支架連接,該熱阻流桿包含具有一第一接頭與一第二接頭的一管狀部件,該第一接頭用以連接至與該基板支架耦合的一RF桿,該第二接頭用以連接至與該RF源耦合的一RF搭接部。具有一內徑的一管狀區段,係在該第一與第二接頭之間延伸。該第一接頭具有一內表面,該第一接頭的該內表面係該管狀區段之一內表面的一延伸部分,且該第一接頭具有一錐形末端區域,該錐形末端區域在朝向該管狀區段之一方向上遠離該第一接頭的該內表面而往該第一接頭的一外表面傾斜。該第一接頭具有複數切口,該複數切口係沿著從該第一接頭之一終端起算的一指定距離而形成穿過該第一接頭的壁厚度。該管狀區段的一外表面具有近接於該第一接頭的一螺紋區域,該螺紋區域係用於與一環狀蓋部進行螺紋囓合,該環狀蓋部係設置成安裝在該第一接頭之上並且在與該第一接頭的該錐形末端區域接觸時降低該第一接頭的一內徑。
在一實施例中,該環狀蓋部具有一內螺紋區域以及一內傾斜壁,該內螺紋區域係用於與近接於該第一接頭的該螺紋區域進行螺紋囓合,該內傾斜壁係設置成與該第一接頭的該錐形末端區域配合。在一實施例中,該第二接頭具有一內螺紋區域,以及該熱阻流桿更包含一螺紋機械式扣合件,該螺紋機械式扣合件係設置成將該RF搭接部連接至該熱阻流桿的該第二接頭。在一實施例中,該螺紋機械式扣合件為一螺栓或一機器螺釘。
在一實施例中,該熱阻流桿係由具有低導熱性的一基材所形成,且該基材被鍍以一高導電性材料。在一實施例中,具有低導熱性的該基材包含不銹鋼或以鎳-鉻為基的超合金(nickel-chromium-based superalloy),以及鍍在該基材上的該高導電性材料包含金。
在另一示範實施例中,提供一種電漿處理系統。該電漿處理系統包含具有一第一末端與一第二末端的一射頻(RF)輸入桿,該第一末端係連接至一電漿處理腔室,該第二末端係用以接收來自一RF源的RF信號。該系統亦包含一熱阻流桿,其具有一第一接頭、一第二接頭、以及在該第一與第二接頭之間延伸的一管狀區段。該第一接頭具有一內表面,該內表面為該管狀區段之一內表面的一延伸部分。又,該第一接頭具有一錐形末端區域,該錐形末端區域在朝向該管狀區段之一方向上遠離該第一接頭的該內表面而往該第一接頭的一外表面傾斜。該第一接頭亦具有複數切口,該複數切口係沿著從該第一接頭之一終端起算的一指定距離而形成穿過該第一接頭的壁厚度。該管狀區段的一外表面具有近接於該第一接頭的一螺紋區域。該第一接頭係設置成容納該RF輸入桿的該第二末端,以及該第二接頭係設置成連接至一RF搭接部。一環狀蓋部係設置成安裝在該第一接頭之上並且在與該第一接頭的該錐形末端區域接觸時降低該第一接頭的一內徑。該系統亦包含一RF源,其係與該RF搭接部耦合。
在一實施例中,該電漿處理腔室包含一處理區域,以及該電漿處理系統更包含一基板支架,該基板支架係配置在該腔室內並且位於該處理區域的下方。在本實施例中,該RF輸入桿係與該基板支架耦合。
在一實施例中,該環狀蓋部具有一內螺紋區域以及一內傾斜壁,該內螺紋區域係用於與近接於該第一接頭的該螺紋區域進行螺紋囓合,該內傾 斜壁係設置成與該第一接頭的該錐形末端區域配合。在一實施例中,該第二接頭具有一內螺紋區域,以及該熱阻流桿更包含一螺紋機械式扣合件,該螺紋機械式扣合件係設置成將該RF搭接部連接至該熱阻流桿的該第二接頭。在一實施例中,該螺紋機械式扣合件為一螺栓或一機器螺釘。
在一實施例中,該熱阻流桿係由具有低導熱性的一基材所形成,且該基材被鍍以一高導電性材料。在一實施例中,具有低導熱性的該基材包含不銹鋼或以鎳-鉻為基的超合金,以及鍍在該基材上的該高導電性材料包含金。
在又另一示範實施例中,提供一種用以將射頻(RF)源連接至電漿處理腔室的方法。該方法包含提供一熱阻流桿,該熱阻流桿具有一第一接頭、一第二接頭、以及在該第一與第二接頭之間延伸的一管狀區段,且該第一接頭具有一內表面,該內表面係該管狀區段之一內表面的一延伸部分。該方法亦包含將一射頻(RF)輸入桿的一第一末端部分插入該熱阻流桿的該第一接頭中,並且將該RF輸入桿的該第一末端部分安置在位於該熱阻流桿內的一指定位置,且該RF輸入桿具有與一電漿處理腔室耦合的一第二末端部分。又,該方法包含壓縮該第一接頭以降低該第一接頭的一內徑並且使該第一接頭的一內表面接觸該RF輸入桿的一外表面並壓於該外表面上,俾能以機械方式固定於該RF輸入桿。該方法亦包含將一射頻(RF)搭接部附接至該熱阻流桿的該第二接頭,且該RF搭接部係與一RF源耦合。
在一實施例中,提供該熱阻流桿的步驟包含提供由一基材所構成的一熱阻流桿,該基材具有低導熱性並且被鍍以一高導電性材料。在一實施例中,具有低導熱性的該基材包含不銹鋼或以鎳-鉻為基的超合金,以及鍍在該基材上的該高導電性材料包含金。
在一實施例中,壓縮該第一接頭以降低該第一接頭之該內徑的步驟包含使在該第一接頭之一末端區域所設置的一傾斜表面與設置成和在該第一接頭之該末端區域所設置的該傾斜表面配合的一傾斜表面接觸。在一實施例中,設置成和在該第一接頭之該末端區域所設置的該傾斜表面配合的該傾斜表面為一環狀蓋部的一內表面,該環狀蓋部係設置成安裝在該第一接頭之上。
在一實施例中,位於該熱阻流桿內安置該RF輸入桿之該第一末端部分的該指定位置包含該第一接頭以及一部分的該管狀區段。在一實施例中,將該RF搭接部附接至該熱阻流桿之該第二接頭的步驟包含將一機械式扣合件附接至該熱阻流桿的該第二接頭。
在此之本揭露內容的其他實施樣態與優點將從下列與隨附圖式配合的詳細說明而變得明顯,這些隨附圖式係經由範例來說明本揭露內容的原理。
100:電漿處理系統
102:腔室
104:氣體分配噴淋頭
104a:開口
106:基板支架
108:處理區域
110:加熱器
112:AC發電機
114:射頻(RF)輸入桿
114a:階梯
116:熱阻流桿
116-1:第一接頭
116-11:軸向指部
116-12:軸向指部
116-13:軸向指部
116-14:軸向指部
116-1z:表面
116-2:第二接頭
116-2y:內螺紋區域
116a:環狀蓋部
116a-1:內螺紋區域
116a-w:內傾斜壁
116b:螺紋機械式扣合件
116x:管狀區段
116x-1:螺紋區域
116x-2:階梯
118:射頻(RF)搭接部
120:阻抗匹配網路
122:射頻(RF)產生器系統
140:切口
140':切口
600:提供熱阻流桿,該熱阻流桿具有第一接頭、第二接頭、以及在第一與第二接頭之間延伸的管狀區段
602:將射頻(RF)輸入桿插入熱阻流桿的第一接頭中,並且安置在位於熱阻流桿內的一指定位置
604:壓縮第一接頭以降低第一接頭的內徑並且使第一接頭的內表面接觸RF輸入桿的外表面並壓於該外表面上
606:將RF搭接部附接至熱阻流桿的第二接頭
依照一實施例,圖1為顯示用於沉積操作之電漿處理系統的簡化示意橫剖面圖。
依照一實施例,圖2為熱阻流桿正處於與RF輸入桿連接之過程中的橫剖面圖。
依照一實施例,圖3A與3B為說明熱阻流桿正與RF輸入桿連接之過程的放大橫剖面圖。
依照一實施例,圖4A為熱阻流桿的部分立體圖。
依照另一實施例,圖4B為熱阻流桿的部分立體圖。
依照一實施例,圖5為說明與熱阻流桿連接之RF輸入桿的剖視立體圖。
依照一示範實施例,圖6為說明在將射頻(RF)源連接至電漿處理腔室時所執行之方法操作的流程圖。
在下列說明內容中,為了提供示範實施例的透徹瞭解而提出了許多具體細節。然而,熟習本項技藝者將明白示範實施例可在不具有其中某些該等具體細節的情況下被實現。在其他情況下,製程操作與實施細節若已為人所熟知,則不詳加說明。
本發明之實施例提供具有筒夾(collet)連接部的熱阻流桿,該筒夾連接部提供用以將射頻(RF)輸入桿電連接至RF源的強箝制力。熱阻流桿也用以使由基座及電流電阻性發熱所產生的高熱與位於上游的敏感性電子構件隔絕。透過由具有低導熱性與具有承受高溫之能力的基材來形成熱阻流桿而達成此種熱功能。為了提供具有傳導電功率所需之導電性的熱阻流桿,基材係與高導電性材料一起被使用,在一實施例中,高導電性材料係電鍍在基材上。
依照一實施例,圖1為顯示用於沉積操作之電漿處理系統的簡化示意橫剖面圖。電漿處理系統100包含腔室102,於其中配置有氣體分配噴淋頭104以及基板支架106,該氣體分配噴淋頭具有可供處理氣體流過的複數開口104a。腔室102具有位在噴淋頭104與基板支架106之間的處理區域108。對於電漿增強化學氣相沉積(PECVD)製程而言,基板支架106可為在沉積期間支撐基板(例如,晶圓)的基座。加熱器110係設置在基板支架106中以加熱基板,且每一個 加熱器係耦合至交流(AC,alternating current)發電機。射頻(RF)輸入桿114的一末端部分係耦合至基板支架106,以將RF功率提供至一電極,該電極形成基板支架(例如,基座)的部分。RF輸入桿114的另一末端部分係連接至包含環狀蓋部116a的熱阻流桿116。於下文中,參考圖2、3A-B、4A-B、以及5來說明關於熱阻流桿的額外細節。
射頻(RF)搭接部118亦連接至熱阻流桿116。RF搭接部118係經由適當的導線接頭而耦合至阻抗匹配網路120。包含一或多個RF產生器的射頻(RF)產生器系統122,係經由適當的導線接頭而耦合至阻抗匹配網路120。在操作時,RF產生器系統122產生RF信號,該RF信號被傳送至阻抗匹配網路120的輸入端。阻抗匹配網路120將耦合至該匹配網路之輸出端的一負載的阻抗與耦合至該匹配網路之輸入端的一來源的阻抗進行匹配,並且產生修正之RF信號。修正之RF信號係經由導線接頭與RF搭接部118而從阻抗匹配網路120被傳送至熱阻流桿116。接著,在大多數情況下沿著熱阻流桿116的外表面傳導修正之RF信號(RF電流),並且經由在熱阻流桿接觸RF輸入桿之處所產生的電連接,將修正之RF信號傳送至RF輸入桿114,此將於下文中參考圖2、3A及3B進行更詳細的說明。
依照一實施例,圖2為熱阻流桿正處於與RF輸入桿連接之過程中的橫剖面圖。如圖2所示,熱阻流桿116包含第一接頭116-1、第二接頭116-2、以及在第一與第二接頭之間延伸的管狀區段116x。管狀區段116x具有一內徑。在一實施例中,該內徑係在從約0.125吋到約0.156吋的範圍內。如在此所使用,『約』與『大約』一詞係意謂特定參數可在合理公差內變化,例如±20%。第一接頭116-1的內表面係管狀區段116x之內表面的延伸部分。在一實施例中,第一接頭116-1的內徑係小於管狀區段116x的內徑。此允許介面區中之公差嚴密的局部加工區 域,並且亦提供用以指示熱阻流桿完全滑動到RF輸入桿上之時的階梯,如在下文中更詳細地說明。相對於管狀區段116x的壁厚度,第一接頭116-1的壁厚度被降低。在一實施例中,管狀區段116x的壁厚度係大約0.250吋,以及第一接頭116-1的壁厚度係大約0.205吋。第一接頭116-1之降低的壁厚度能夠使第一接頭的內徑因為壓縮力的施加而被降低,此將於下文中進行更詳細的說明。
第一接頭116-1的末端區域具有在朝向管狀區段116x之方向上遠離第一接頭之內表面而往第一接頭之外表面傾斜的錐形。在一實施例中,錐形末端區域的表面116-1z係以相對於水平參考線約10度到約30度的角度加以配置。為了能夠與環狀蓋部116a進行螺紋囓合,管狀區段116x的外表面設有近接或靠近於第一接頭116-1的螺紋區域116x-1。在圖2的範例中,螺紋區域116x-1係鄰接於第一接頭116-1。在其他實施例中,螺紋區域116x-1可與第一接頭116-1隔開一指定距離。
環狀蓋部116a係設置成安裝在第一接頭116-1之上,並且降低其內徑以在第一接頭與RF輸入桿114之間產生穩固的連接。環狀蓋部116a具有內螺紋區域116a-1,該內螺紋區域係用於與管狀區段116x之外表面上的螺紋區域116x-1進行螺紋囓合。環狀蓋部116a亦具有內傾斜壁116a-w,該內傾斜壁係設置成與第一接頭116-1之錐形末端區域的表面116-1z配合。在一實施例中,內傾斜壁116a-w係以相對於水平參考線約10度到約30度的角度加以配置。
為了將RF輸入桿114連接至熱阻流桿116,在環狀蓋部與熱阻流桿分開時或者在環狀蓋部鬆弛地螺接於第一接頭116-1之上時,將RF輸入桿插入並穿過環狀蓋部116a的中心開口。然後,將RF輸入桿114的末端部分插入第一接頭116-1中,並且安置在位於熱阻流桿內的一指定位置。在圖2所示的範例中,RF 輸入桿114之末端部分的指定位置包含第一接頭116-1以及一部分的管狀區段116x。在一實施例中,在RF輸入桿114之末端部分的外徑上存在階梯114a,以及在管狀區段116x的內徑上存在階梯116x-2。在本實施例中,階梯116x-2係作為RF輸入桿114之插入深度的定位停止件。在RF輸入桿114配置在熱阻流桿116內之指定位置的情況下,環狀蓋部116a之後螺接到位於管狀區段116x之外表面上的螺紋區域116x-1上。當環狀蓋部116a從初始囓合位置移動到完全囓合位置時,環狀蓋部的內傾斜壁116a-w接觸第一接頭116-1之錐形末端區域的表面116-1z。此種接觸使壓縮力施加在第一接頭116-1上,此降低第一接頭的內徑。當第一接頭116-1的內徑被降低時,第一接頭的一部分內表面係與RF輸入桿114的外表面接觸並且壓於該外表面上,而以機械方式將第一接頭固定於RF輸入桿。於下文中,參考圖3A、3B、5、以及6來說明關於將RF輸入桿連接至熱阻流桿之過程的額外細節。
繼續參考圖2,第二接頭116-2具有內螺紋區域116-2y,該內螺紋區域係用於與螺紋機械式扣合件116b進行螺紋囓合。螺紋機械式扣合件116b可為任何適當的扣合件,例如螺栓或機器螺釘。RF搭接部118可藉由下列方式附接至熱阻流桿116的第二接頭116-2:將螺紋機械式扣合件116b插入並穿過RF搭接部中的開口,並且接著將該扣合件螺接到位於螺紋區域116-2y內的完全囓合位置上,以將RF搭接部穩固地附接至第二接頭。
依照一實施例,圖3A與3B為說明熱阻流桿正與RF輸入桿連接之過程的放大橫剖面圖。圖3A顯示在環狀蓋部到達完全囓合位置之前的第一接頭116-1、環狀蓋部116a、以及RF輸入桿114的相對位置。如圖3A所示,環狀蓋部116a的內傾斜壁116a-w尚未接觸第一接頭116-1之錐形末端區域的表面116-1z。 在此種狀態下,在RF輸入桿114的外表面與第一接頭116-1的內表面之間存在間隙G1。圖3B顯示一旦環狀蓋部到達完全囓合位置時的第一接頭116-1、環狀蓋部116a、以及RF輸入桿114的相對位置。如圖3B所示,環狀蓋部116a已在朝向第一接頭116-1的方向上(即,在頁面上從右到左)相對於圖3A所示之環狀蓋部的位置移動了距離X,以將環狀蓋部帶入到完全囓合位置上。在完全囓合位置上,內傾斜壁116a-w接觸第一接頭116-1之錐形末端區域的表面116-1z,並且將壓縮力施加在第一接頭上。此種壓縮力降低第一接頭116-1的內徑,並且使第一接頭的一部分內表面接觸RF輸入桿114的外表面並壓於該外表面上。在圖3B所示的實施例中,將於其中第一接頭116-1之內表面與RF輸入桿114之外表面被擠壓而進行接觸的區域標示為CR1,以及在接觸區域CR1中,於第一接頭的內表面與RF輸入桿的外表面之間不存在間隙。因此,如圖3B所標示,G2等於零。
藉由改變第一接頭之錐形末端區域的尺寸與形狀並且對環狀蓋部116a之內傾斜壁116a-w的角度做出對應的改變,以改變於其中第一接頭116-1之內表面與RF輸入桿114之外表面被擠壓而進行接觸的該接觸區域之長度。因此,在其他實施例中,該接觸區域的長度可比圖3B所示之接觸區域CR1的長度更長。例如,圖3B所示的接觸區域CR2係延伸超出第一接頭116-1的錐形末端區域。
依照一實施例,圖4A為熱阻流桿的部分立體圖。圖4A所示之熱阻流桿116的部分包含管狀區段116x與第一接頭116-1。為了容易說明,在圖4A中已省略管狀區段116x之外表面上的螺紋部分116x-1。在圖4A的實施例中,在第一接頭116-1中形成複數切口140,以界定軸向指部116-11、116-12、116-13、以及116-14。切口140係延伸達到第一接頭116-1的長度,但切口長度可被改變,此將於下文中參考圖4B進行更詳細的說明。當以上述方式將環狀蓋部附接至熱 阻流桿時,軸向指部116-11、116-12、116-13、以及116-14係在徑向上被壓縮,以在RF輸入桿與第一接頭一起被配置時,對著RF輸入桿施加強箝制力。以此種方式,第一接頭的軸向指部係作為筒夾的功能,以將RF輸入桿牢固地固持於熱阻流桿內。由軸向指部之徑向壓縮所提供的強箝制力係在熱阻流桿的第一接頭與RF輸入桿之間提供改善的電接觸。
依照另一實施例,圖4B為熱阻流桿的部分立體圖。圖4B所示之熱阻流桿116的部分包含管狀區段116x與第一接頭116-1。為了容易說明,在圖4B中已省略管狀區段116x之外表面上的螺紋部分116x-1。除了在第一接頭中所形成之切口的長度以外,圖4B所示之實施例係與圖4A所示之實施例相同。如圖4B所示,切口140'係延伸達到第一接頭116-1之長度的一部分,而非如圖4A所示係延伸達到第一接頭的長度。在一實施例中,切口140'係延伸達到第一接頭116-1之長度的約1/4(25%)到約1/3(33%)。在其他實施例中,切口140'可延伸達到較長的距離,例如第一接頭之長度的約40%、50%、60%等等,或者延伸達到較短的距離,例如第一接頭之長度的約10%、20%等等。在第一接頭116-1中所形成的切口140'應延伸達到一長度,該長度係足以在環狀蓋部被附接至熱阻流桿時,使軸向指部116-11、116-12、116-13、以及116-14可在徑向上被壓縮而抵靠著RF輸入桿。另一方面,切口的長度不應太長,以致於使切口所界定的軸向指部易於彎曲或產生其他可能限制熱阻流桿壽命的變形。
依照一實施例,圖5為說明與熱阻流桿連接之RF輸入桿的剖視立體圖。如圖5所示,RF輸入桿114延伸穿過環狀蓋部116a中的環狀開口並且進入到熱阻流桿116中,俾使RF輸入桿的末端部分位於管狀區段116x內。環狀蓋部116a已安裝在第一接頭116-1之上,且內螺紋區域116a-1已與管狀區段116x之外 表面上的螺紋區域116x-1進行螺紋囓合,俾使環狀蓋部位在完全囓合位置上。在環狀蓋部116a位於完全囓合位置上的情況下,內傾斜壁116a-w接觸第一接頭116-1之錐形末端區域的表面116-1z,並且將壓縮力施加在第一接頭上。如以上參考圖3A與3B所述,此種壓縮力係使第一接頭116-1的一部分內表面接觸RF輸入桿114的外表面並壓於該外表面上。由第一接頭116-1之徑向壓縮所提供的強箝制力係在熱阻流桿116的第一接頭與RF輸入桿114之間提供改善的電接觸。
圖5之左側所顯示的括弧係指熱阻流桿116的各個部分。這些部分包含第一接頭116-1、第二接頭116-2、以及在第一與第二接頭之間延伸的管狀區段116x。第二接頭116-2包含內螺紋區域116-2y,該內螺紋區域係用於與螺紋機械式扣合件(參見例如圖2所示之螺紋機械式扣合件116b)進行螺紋囓合,該螺紋機械式扣合件將RF搭接部固定於第二接頭。
除了提供與RF輸入桿的改善電接觸以外,熱阻流桿也用以使由基座及電流電阻性發熱所產生的高熱與位於上游的敏感性電子構件隔絕。透過由具有低導熱性並且能夠承受高溫的基材來形成熱阻流桿而達成此種熱功能。在一實施例中,具有低導熱性的基材係由不銹鋼或以鎳-鉻為基的超合金所形成。這些材料的範例包含316L型不銹鋼與商品名INCONEL®、HAYNES®、以及HASTELLOY®的市售超合金。在一實施例中,基材被選擇以匹配熱機械特性,例如RF輸入桿的熱膨脹係數。藉由匹配熱膨脹係數,以將熱阻流桿與RF輸入桿之間的連接在高溫下變鬆弛的風險降至最低。為了進一步降低熱傳遞,熱阻流桿係設置成將構件的截面積降至最小。
一般使用在電功率輸送的導電構件亦為高導熱性。為了提供具有傳導電功率所需之導電性的熱阻流桿,基材係與例如金的高導電性材料一起被 使用。在一實施例中,高導電性材料係電鍍在基材上。由於RF電流主要被傳導於導體的表面上,所以電鍍材料的使用提供了具有所需之RF傳導性的熱阻流桿,並同時維持基材的期望熱特性。關於承受高溫的能力,由於基材可承受極高的溫度,所以導電性鍍層為限制因素。金的導電性鍍層被認為能夠承受達到攝氏約400度的高溫,此超過攝氏300到350度的溫度範圍,當熱阻流桿與陶瓷基座一起用於高頻功率環境時,熱阻流桿必須在該溫度範圍起作用。
依照一示範實施例,圖6為說明在將射頻(RF)源連接至電漿處理腔室時所執行之方法操作的流程圖。在操作600中,提供一熱阻流桿,該熱阻流桿具有第一接頭、第二接頭、以及在第一與第二接頭之間延伸的管狀區段。在一實施例中,熱阻流桿具有例如圖2所示之熱阻流桿116的構造。在一實施例中,熱阻流桿係由具有低導熱性的基材所形成,且該基材被鍍以高導電性材料。作為範例,如上所述,具有低導熱性的基材可由不銹鋼或以鎳-鉻為基的超合金所構成。在一實施例中,鍍在基材上的高導電性材料係由金所構成。
在操作602中,將RF輸入桿的第一末端部分插入熱阻流桿的第一接頭中,並且安置在位於熱阻流桿內的一指定位置。將RF輸入桿的第二末端部分耦合至電漿處理腔室,例如電漿增強化學氣相沉積(PECVD)腔室。在一實施例中,如圖2與5所示,位於熱阻流桿內安置RF輸入桿之第一末端部分的指定位置包含第一接頭以及一部分的管狀區段。
一旦RF輸入桿的第一末端部分安置在熱阻流桿內,在操作604中,壓縮第一接頭以降低第一接頭的內徑並且使第一接頭的內表面接觸RF輸入桿的外表面並壓於該外表面上,俾能以機械方式固定於RF輸入桿。在一實施例中,壓縮第一接頭以降低第一接頭之內徑的步驟包含使在第一接頭之末端區域 所設置的傾斜表面與設置成和在第一接頭之末端區域所設置的該傾斜表面配合的傾斜表面接觸。作為範例,在第一接頭之末端區域所設置的該傾斜表面可為第一接頭116-1之錐形末端區域的表面116-1z(例如參見圖2)。在一實施例中,設置成和在第一接頭之末端區域所設置的該傾斜表面配合的傾斜表面為環狀蓋部116a的傾斜內壁116a-w(例如參見圖2與5)。
在操作606中,將RF搭接部連接至熱阻流桿的第二接頭。將RF搭接部耦合至RF源,例如圖1所示之RF產生器系統122。在一實施例中,將RF搭接部附接至熱阻流桿之第二接頭的步驟包含將機械式扣合件附接至熱阻流桿的第二接頭。作為範例,該機械式扣合件可為螺紋機械式扣合件,例如螺栓或機器螺釘。在一實施例中,如圖2所示,RF搭接部118可藉由下列方式附接至熱阻流桿116的第二接頭116-2:將螺紋機械式扣合件116b插入並穿過RF搭接部中的開口,並且接著將該扣合件螺接到位於螺紋區域116-2y內的完全囓合位置上,以將RF搭接部穩固地附接至第二接頭。
在某些實作中,控制器為系統的部分,該系統可為上述範例的部分。此種系統可包含半導體處理設備,其包含處理工具、腔室、處理用平台、及/或特定處理構件(晶圓基座、氣體流動系統等等)。這些系統可與電子元件整合在一起,該電子元件用以在處理半導體晶圓或基板之前、期間、之後,控制這些系統的操作。該電子元件可被稱為『控制器』,其可控制該系統的各種構件或子零件。可根據處理需求及/或系統類型,將該控制器程式化,以控制在此所揭露之任何處理,其包含處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻 率設定、流率設定、流體輸送設定、位置與操作設定、進入及離開與一特定系統連接或介接之一工具及其他搬運工具及/或負載室的晶圓搬運。
大體而言,該控制器可被定義為具有各種積體電路、邏輯、記憶體、及/或軟體的電子元件,其接收指令、發出指令、控制操作、進行清理操作、進行終點測量等等。該積體電路可包含具有韌體形式而儲存有程式指令的晶片、數位信號處理器(DSP,digital signal processor)、被定義為特定用途積體電路(ASIC,application specific integrated circuits)的晶片、及/或一或多個微處理器、或執行程式指令(例如軟體)的微控制器。程式指令可為以各種獨立設定值(或程式檔案)形式傳送至控制器的指令,以定義用以在半導體晶圓上或對一系統實現特定處理的操作參數。在某些實施例中,這些操作參數可為製程工程師所定義之配方的部分,以在晶圓之一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的加工期間完成一或多個處理步驟。
在某些實作中,該控制器可為電腦的一部分或耦合至該電腦,該電腦係與該系統整合在一起,或耦合至該系統,或網路連接至該系統,或為其組合。例如,該控制器可位在「雲端(cloud)」中或為晶圓廠主電腦系統的全部或一部分,此可允許晶圓處理的遠端存取。該電腦可對該系統進行遠端存取,以監視加工操作的當前進度、檢查過去加工操作的歷史、從複數加工操作來檢查趨勢或性能指標、改變當前處理的參數、依當前處理來設定處理步驟、或開始新的處理。在某些範例中,遠端電腦(例如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含使用者介面,其可進行參數及/或設定值的輸入或程式化,這些參數及/或設定值之後從該遠端電腦傳送至該系統。在某些範例中,該控制器接收具有資料形式的指令,該指令 規定待於一或多個操作期間執行之每一處理步驟的參數。吾人應瞭解這些參數可特定於待執行之處理的類型以及該控制器所介接或控制之工具的類型。因此,如上所述,可以下列方式來分配該控制器:例如藉由包含以網路連接在一起並且為一共同目的(例如在此所述的處理與控制)而運作的一或多個分離控制器。為此種目的而分配的控制器之一範例可為在腔室上之一或多個積體電路,該積體電路係與遠端設置(例如平台等級或作為遠端電腦之部分)的一或多個積體電路通信,以聯合控制腔室上的處理。
示範的系統可包含但不限於電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清理腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD,chemical vapor deposition)腔室或模組、原子層沉積(ALD,atomic layer deposition)腔室或模組、原子層蝕刻(ALE,atomic layer etch)腔室或模組、離子植入腔室或模組、塗佈顯影(track)腔室或模組、以及聯合或用於半導體晶圓之加工及/或製造的任何其他半導體處理系統。
如上所述,根據待由該工具所執行的處理步驟,該控制器可與下列其中一或多者進行通信:其他工具電路或模組、其他工具構件、群集(cluster)工具、其他工具介面、相鄰工具、鄰近工具、設置遍布於工廠的工具、主電腦、另一控制器、或用於原料運送而將晶圓容器運至與運離半導體製造廠中之工具位置及/或裝載通道的工具。
基於上述實施例,吾人應瞭解該等實施例可利用各種電腦實施操作,其涉及儲存於電腦系統中的的資料。這些操作為需要物理量的物理性處理者。形成部分之實施例的此處所述的任何操作係有用的機械操作。該等實施例 亦關於執行這些操作的裝置或設備。該設備可特別建構用於特殊用途電腦。當定義為特殊用途電腦時,該電腦亦可執行非該特殊用途的其他處理、程式執行或常式,並且仍能夠用於該特殊用途操作。或者,可藉由利用儲存於電腦記憶體、快取記憶體、或由網路取得的一或多個電腦程式選擇性啟動或設定的通用電腦,處理該等操作。當資料係在網路上取得時,該資料可藉由網路上的其他電腦(例如雲端計算資源)加以處理。
一或多個實施例亦可被製作成電腦可讀媒體上的電腦可讀碼。電腦可讀媒體係可儲存資料的任何資料儲存裝置,該資料之後可由電腦系統讀出。電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS,network attached storage)、唯讀記憶體、隨機存取記憶體、CD-ROM、CD-R、CD-RW、磁帶以及其他光學和非光學資料儲存裝置。電腦可讀媒體可包含分布於網路連接電腦系統上的電腦可讀有形媒體,使得電腦可讀碼被分散式地儲存和執行。
雖然方法操作係以特定的順序描述,但吾人應瞭解其他內務處理操作可在操作之間執行,或者可調整操作,使得該等操作在些許不同的時間發生,或者可分布於一系統之中,該系統允許處理操作發生在各種與處理相關聯的時間間隔,只要重疊操作的處理係以期望的方式執行即可。
因此,示範實施例的揭露內容係意欲例示而非限制本揭露內容的範疇,本揭露內容的範疇係提出於下列請求項及其均等範圍中。雖然本揭露內容的示範實施例已為了理解清楚之目的而進行相當程度的詳細描述,但吾人可明白,在下列請求項的範疇之內可實施某些變化和修改。在下列請求項中,元件及/或步驟不暗示任何特定操作順序,除非請求項有明確陳述或本揭露內容有暗示要求。
114:射頻(RF)輸入桿
114a:階梯
116:熱阻流桿
116-1:第一接頭
116-1z:表面
116-2:第二接頭
116-2y:內螺紋區域
116a:環狀蓋部
116a-1:內螺紋區域
116a-w:內傾斜壁
116b:螺紋機械式扣合件
116x:管狀區段
116x-1:螺紋區域
116x-2:階梯
118:射頻(RF)搭接部

Claims (20)

  1. 一種熱阻流桿,用於將一射頻(RF,radio frequency)源連接至一電漿處理系統的一基板支架,該熱阻流桿包含:一管狀部件,該管狀部件具有一第一接頭、一第二接頭、與一管狀區段,該第一接頭用以連接至與該基板支架耦合的一RF桿,該第二接頭用以連接至與該RF源耦合的一RF搭接部,且該管狀區段係在該第一與第二接頭之間延伸,該管狀區段具有一內徑,該第一接頭具有一內表面,該第一接頭的該內表面係該管狀區段之一內表面的一延伸部分,該第一接頭具有一錐形末端區域,該錐形末端區域在朝向該管狀區段之一方向上遠離該第一接頭的該內表面而往該第一接頭的一外表面傾斜,該第一接頭具有形成穿過該第一接頭之壁厚度的複數切口,該複數切口定義多個切口開口,該等切口開口位於該第一接頭之一終端處並從該第一接頭之該終端延伸一指定距離而定義複數軸向指部,該管狀區段的一外表面具有近接於該第一接頭的一螺紋區域,該螺紋區域係用於與一環狀蓋部進行螺紋囓合,該環狀蓋部係設置成安裝在該第一接頭之上並且在與該第一接頭的該錐形末端區域接觸時降低該第一接頭的一內徑。
  2. 如申請專利範圍第1項所述之熱阻流桿,其中該環狀蓋部具有一內螺紋區域以及一內傾斜壁,該內螺紋區域係用於與近接於該第一接頭的該螺紋區域進行螺紋囓合,該內傾斜壁係設置成與該第一接頭的該錐形末端區域配合。
  3. 如申請專利範圍第1項所述之熱阻流桿,其中該第二接頭具有一內螺紋區域,以及該熱阻流桿更包含一螺紋機械式扣合件,該螺紋機械式扣合件係設置成將該RF搭接部連接至該熱阻流桿的該第二接頭。
  4. 如申請專利範圍第3項所述之熱阻流桿,其中該螺紋機械式扣合件為一螺栓或一機器螺釘。
  5. 如申請專利範圍第1項所述之熱阻流桿,其中該熱阻流桿係由具有低導熱性的一基材所形成,且該基材被鍍以一高導電性材料。
  6. 如申請專利範圍第5項所述之熱阻流桿,其中具有低導熱性的該基材包含不銹鋼或以鎳-鉻為基的超合金,以及鍍在該基材上的該高導電性材料包含金。
  7. 一種電漿處理系統,包含:一射頻(RF,radio frequency)輸入桿,具有一第一末端與一第二末端,該第一末端係連接至一電漿處理腔室,該第二末端係用以接收來自一RF源的RF信號;一熱阻流桿,具有一第一接頭、一第二接頭、以及在該第一與第二接頭之間延伸的一管狀區段,該第一接頭具有一內表面,該第一接頭的該內表面係該管狀區段之一內表面的一延伸部分,該第一接頭具有一錐形末端區域,該錐形末端區域在朝向該管狀區段之一方向上遠離該第一接頭的該內表面 而往該第一接頭的一外表面傾斜,該第一接頭具有形成穿過該第一接頭之壁厚度的複數切口,該複數切口定義多個切口開口,該等切口開口位於該第一接頭之一終端處並從該第一接頭之該終端延伸一指定距離而定義複數軸向指部,該管狀區段的一外表面具有近接於該第一接頭的一螺紋區域,該第一接頭係設置成容納該RF輸入桿的該第二末端,該第二接頭係設置成連接至一RF搭接部,以及一環狀蓋部係設置成安裝在該第一接頭之上並且在與該第一接頭的該錐形末端區域接觸時降低該第一接頭的一內徑;以及一RF源,與該RF搭接部耦合。
  8. 如申請專利範圍第7項所述之電漿處理系統,其中該電漿處理腔室包含一處理區域,以及該電漿處理系統更包含:一基板支架,配置在該腔室內並且位於該處理區域的下方,其中該RF輸入桿係與該基板支架耦合。
  9. 如申請專利範圍第7項所述之電漿處理系統,其中該環狀蓋部具有一內螺紋區域以及一內傾斜壁,該內螺紋區域係用於與近接於該第一接頭的該螺紋區域進行螺紋囓合,該內傾斜壁係設置成與該第一接頭的該錐形末端區域配合。
  10. 如申請專利範圍第7項所述之電漿處理系統,其中該第二接頭具有一內螺紋區域,以及該熱阻流桿更包含一螺紋機械式扣合件,該螺紋機械式扣合件係設置成將該RF搭接部連接至該熱阻流桿的該第二接頭。
  11. 如申請專利範圍第10項所述之電漿處理系統,其中該螺紋機械式扣合件為一螺栓或一機器螺釘。
  12. 如申請專利範圍第7項所述之電漿處理系統,其中該熱阻流桿係由具有低導熱性的一基材所形成,且該基材被鍍以一高導電性材料。
  13. 如申請專利範圍第12項所述之電漿處理系統,其中具有低導熱性的該基材包含不銹鋼或以鎳-鉻為基的超合金,以及鍍在該基材上的該高導電性材料包含金。
  14. 一種用以將射頻(RF,radio frequency)源連接至電漿處理腔室的方法,包含下列步驟:提供一熱阻流桿,該熱阻流桿具有一第一接頭、一第二接頭、以及在該第一與第二接頭之間延伸的一管狀區段,該第一接頭具有一內表面,該第一接頭的該內表面係該管狀區段之一內表面的一延伸部分,該第一接頭具有形成穿過該第一接頭之壁厚度的複數切口,該複數切口定義多個切口開口,該等切口開口位於該第一接頭之一終端處並從該第一接頭之該終端延伸一指定距離而定義複數軸向指部;將一射頻(RF)輸入桿的一第一末端部分插入該熱阻流桿的該第一接頭中,並且將該RF輸入桿的該第一末端部分安置在位於該熱阻流桿內的一指定位置,該RF輸入桿具有與一電漿處理腔室耦合的一第二末端部分; 壓縮該第一接頭以降低該第一接頭的一內徑並且使該第一接頭的一內表面接觸該RF輸入桿的一外表面並壓於該外表面上,俾能以機械方式固定於該RF輸入桿;以及將一射頻(RF)搭接部附接至該熱阻流桿的該第二接頭,該RF搭接部係與一RF源耦合。
  15. 如申請專利範圍第14項所述之用以將射頻(RF)源連接至電漿處理腔室的方法,其中提供該熱阻流桿的步驟包含提供由一基材所構成的一熱阻流桿,該基材具有低導熱性並且被鍍以一高導電性材料。
  16. 如申請專利範圍第15項所述之用以將射頻(RF)源連接至電漿處理腔室的方法,其中具有低導熱性的該基材包含不銹鋼或以鎳-鉻為基的超合金,以及鍍在該基材上的該高導電性材料包含金。
  17. 如申請專利範圍第14項所述之用以將射頻(RF)源連接至電漿處理腔室的方法,其中壓縮該第一接頭以降低該第一接頭之該內徑的步驟包含使在該第一接頭之一末端區域所設置的一傾斜表面與設置成和在該第一接頭之該末端區域所設置的該傾斜表面配合的一傾斜表面接觸。
  18. 如申請專利範圍第17項所述之用以將射頻(RF)源連接至電漿處理腔室的方法,其中設置成和在該第一接頭之該末端區域所設置的該傾斜表面 配合的該傾斜表面為一環狀蓋部的一內表面,該環狀蓋部係設置成安裝在該第一接頭之上。
  19. 如申請專利範圍第14項所述之用以將射頻(RF)源連接至電漿處理腔室的方法,其中位於該熱阻流桿內安置該RF輸入桿之該第一末端部分的該指定位置包含該第一接頭以及一部分的該管狀區段。
  20. 如申請專利範圍第14項所述之用以將射頻(RF)源連接至電漿處理腔室的方法,其中將該RF搭接部附接至該熱阻流桿之該第二接頭的步驟包含將一機械式扣合件附接至該熱阻流桿的該第二接頭。
TW107130798A 2017-09-05 2018-09-03 熱阻流桿、電漿處理系統及射頻源連接方法 TWI852911B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/696,068 US11469084B2 (en) 2017-09-05 2017-09-05 High temperature RF connection with integral thermal choke
US15/696,068 2017-09-05

Publications (2)

Publication Number Publication Date
TW201931425A TW201931425A (zh) 2019-08-01
TWI852911B true TWI852911B (zh) 2024-08-21

Family

ID=65517787

Family Applications (2)

Application Number Title Priority Date Filing Date
TW113126688A TW202510006A (zh) 2017-09-05 2018-09-03 熱阻流桿、電漿處理系統及射頻源連接方法
TW107130798A TWI852911B (zh) 2017-09-05 2018-09-03 熱阻流桿、電漿處理系統及射頻源連接方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW113126688A TW202510006A (zh) 2017-09-05 2018-09-03 熱阻流桿、電漿處理系統及射頻源連接方法

Country Status (7)

Country Link
US (2) US11469084B2 (zh)
JP (2) JP7175967B2 (zh)
KR (3) KR102615464B1 (zh)
CN (2) CN111052299B (zh)
SG (1) SG11202001872RA (zh)
TW (2) TW202510006A (zh)
WO (1) WO2019050809A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11469084B2 (en) 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
WO2019152528A1 (en) 2018-01-31 2019-08-08 Lam Research Corporation Electrostatic chuck (esc) pedestal voltage isolation
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11183368B2 (en) 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
WO2020117594A1 (en) 2018-12-04 2020-06-11 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
KR20240136949A (ko) * 2022-02-01 2024-09-19 램 리써치 코포레이션 Rf 연결을 위한 열 절연을 갖는 웨이퍼 척 조립체

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6151203A (en) * 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
JP2005347620A (ja) * 2004-06-04 2005-12-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置の載置台ユニット
US20130126206A1 (en) * 2011-11-22 2013-05-23 Applied Materials, Inc. Apparatus and methods for improving reliability of rf grounding
TW201535453A (zh) * 2013-12-20 2015-09-16 Nicholas R White 任意長度之帶狀射束離子源
US20150323050A1 (en) * 2012-11-30 2015-11-12 Toshiaki Ohno Shaft end adapter and ball screw assembly

Family Cites Families (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2491167A (en) * 1946-03-19 1949-12-13 Charles E Drew Collet chuck
US2684698A (en) * 1950-06-01 1954-07-27 Keller Tool Co Tool for running threaded fastening elements
US3895832A (en) * 1974-05-13 1975-07-22 Mueller Co Collet compression connection
US4552430A (en) * 1982-09-30 1985-11-12 Myers Electric Products, Inc. Connector head for electric terminal box
US4692836A (en) 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4897853A (en) * 1988-06-01 1990-01-30 King, Taudevin & Gregson (Holdings)Limited Refractory ceramic electrode
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
JPH07169737A (ja) 1993-12-14 1995-07-04 Tokyo Electron Ltd 処理装置
JPH07201496A (ja) 1993-12-29 1995-08-04 Matsushita Electric Ind Co Ltd プラズマ発生方法及びプラズマ発生装置
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US5507874A (en) 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
JP3249696B2 (ja) 1994-11-28 2002-01-21 日新電機株式会社 静電チャックおよびその使用方法
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JP3949186B2 (ja) 1995-12-25 2007-07-25 富士通株式会社 基板載置台、プラズマ処理装置及び半導体装置の製造方法
JP3847363B2 (ja) 1996-02-02 2006-11-22 富士通株式会社 半導体ウェハ処理装置及び半導体ウェハ処理方法
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
TW334609B (en) 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5829791A (en) 1996-09-20 1998-11-03 Bruker Instruments, Inc. Insulated double bayonet coupler for fluid recirculation apparatus
US6066836A (en) 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
KR19980071011A (ko) * 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US5737178A (en) 1997-03-06 1998-04-07 Applied Materials, Inc. Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks
TW422892B (en) 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
JP3624628B2 (ja) 1997-05-20 2005-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US5841624A (en) 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
US6936153B1 (en) * 1997-09-30 2005-08-30 Semitool, Inc. Semiconductor plating system workpiece support having workpiece-engaging electrode with pre-conditioned contact face
US6104596A (en) 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6072685A (en) 1998-05-22 2000-06-06 Applied Materials, Inc. Electrostatic chuck having an electrical connector with housing
US6213478B1 (en) * 1999-03-11 2001-04-10 Moore Epitaxial, Inc. Holding mechanism for a susceptor in a substrate processing reactor
US6466881B1 (en) 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
US6261977B1 (en) 1999-09-08 2001-07-17 United Microelectronics Corp. Method for preventing an electrostatic chuck from being corroded during a cleaning process
JP3914671B2 (ja) 1999-11-30 2007-05-16 京セラ株式会社 ウエハ支持部材
JP4028149B2 (ja) 2000-02-03 2007-12-26 日本碍子株式会社 加熱装置
JP4637316B2 (ja) 2000-02-24 2011-02-23 京セラ株式会社 筒状体を有するセラミックヒーター及びこれを用いた加熱装置
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP3870824B2 (ja) 2001-09-11 2007-01-24 住友電気工業株式会社 被処理物保持体、半導体製造装置用サセプタおよび処理装置
JP2003124296A (ja) 2001-10-17 2003-04-25 Sumitomo Osaka Cement Co Ltd サセプタ及びその製造方法
US6879051B1 (en) 2002-01-16 2005-04-12 Advanced Micro Devices, Inc. Systems and methods to determine seed layer thickness of trench sidewalls
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
JP4034145B2 (ja) 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
JP3962661B2 (ja) 2002-08-30 2007-08-22 三菱重工業株式会社 静電チャック支持機構及び支持台装置及びプラズマ処理装置
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
CN100388434C (zh) 2003-03-12 2008-05-14 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置
JP4347295B2 (ja) 2003-04-18 2009-10-21 株式会社日立国際電気 半導体製造装置および半導体装置の製造方法
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
EP1635388A4 (en) 2003-06-17 2009-10-21 Creative Tech Corp DIPOLAR ELECTROSTATIC CLAMPING DEVICE
JP2005018992A (ja) 2003-06-23 2005-01-20 Ibiden Co Ltd プラズマ発生装置用電極埋設部材
JP4349020B2 (ja) 2003-07-25 2009-10-21 株式会社Ihi 成膜装置
JP2005072286A (ja) 2003-08-25 2005-03-17 Kyocera Corp 静電チャック
TWI236055B (en) 2003-09-05 2005-07-11 United Microelectronics Corp Plasma apparatus and method capable of adaptive impedance matching
JP4411100B2 (ja) 2004-02-18 2010-02-10 キヤノン株式会社 露光装置
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20070223173A1 (en) 2004-03-19 2007-09-27 Hiroshi Fujisawa Bipolar Electrostatic Chuck
JP2005285825A (ja) 2004-03-26 2005-10-13 Advantest Corp 静電チャック及び静電チャックによる基板固定方法
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
TW200612512A (en) 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
US7375946B2 (en) 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP4386360B2 (ja) 2004-12-06 2009-12-16 信越化学工業株式会社 静電チャック
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
JP2006302887A (ja) 2005-04-20 2006-11-02 Ngk Insulators Ltd 給電部材及び加熱装置
JP4672597B2 (ja) 2005-06-02 2011-04-20 日本碍子株式会社 基板処理装置
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
JP4381393B2 (ja) 2006-04-28 2009-12-09 信越化学工業株式会社 静電チャック
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080062609A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
US7619870B2 (en) 2006-08-10 2009-11-17 Tokyo Electron Limited Electrostatic chuck
CN200956667Y (zh) 2006-09-26 2007-10-03 李生 内壁带陶瓷涂层的电加热辐射管
JP4768699B2 (ja) 2006-11-30 2011-09-07 キヤノンアネルバ株式会社 電力導入装置及び成膜方法
US20080167720A1 (en) * 2007-01-08 2008-07-10 Warsaw Orthopedic, Inc. Expandable vertebral body replacement device
JP5029257B2 (ja) 2007-01-17 2012-09-19 東京エレクトロン株式会社 載置台構造及び処理装置
JP2008218181A (ja) 2007-03-05 2008-09-18 Tsujiko Co Ltd 電気機器接続構造及び電気機器接続方法
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
US8294069B2 (en) 2007-03-28 2012-10-23 Ngk Insulators, Ltd. Heating device for heating a wafer
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US7625227B1 (en) * 2007-07-31 2009-12-01 Agilent Technologies, Inc. High performance blind-mate connector
JP2009123929A (ja) 2007-11-15 2009-06-04 Tokyo Electron Ltd プラズマ処理装置
KR101415551B1 (ko) 2008-01-25 2014-07-04 (주)소슬 정전척, 이의 제조 방법 및 이를 포함하는 기판 처리 장치
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
JP2009212296A (ja) 2008-03-04 2009-09-17 Hitachi High-Technologies Corp プラズマ処理装置
JP4450106B1 (ja) 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US8540819B2 (en) 2008-03-21 2013-09-24 Ngk Insulators, Ltd. Ceramic heater
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US7702077B2 (en) 2008-05-19 2010-04-20 General Electric Company Apparatus for a compact HV insulator for x-ray and vacuum tube and method of assembling same
KR101560138B1 (ko) 2008-06-24 2015-10-14 어플라이드 머티어리얼스, 인코포레이티드 저온 pecvd 애플리케이션을 위한 받침대 히터
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US20100104852A1 (en) 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
EP2383774A1 (en) 2009-01-29 2011-11-02 Tokyo Electron Limited Film deposition device and gas ejection member
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US8879233B2 (en) 2009-05-15 2014-11-04 Entegris, Inc. Electrostatic chuck with polymer protrusions
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
WO2011009038A1 (en) * 2009-07-16 2011-01-20 Waters Technologies Corporation Self-unlocking fluid-tight connector
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5460184B2 (ja) 2009-08-28 2014-04-02 株式会社日本セラテック 支持装置
JP2011061040A (ja) 2009-09-10 2011-03-24 Tokyo Electron Ltd 載置台構造及び処理装置
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
JP2011165891A (ja) 2010-02-09 2011-08-25 Tokyo Electron Ltd 載置台構造及び処理装置
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
CN105196094B (zh) 2010-05-28 2018-01-26 恩特格林斯公司 高表面电阻率静电吸盘
CA2807293C (en) * 2010-08-06 2017-11-14 American Grease Stick Company Hand held flaring tool
TWI534940B (zh) 2010-09-08 2016-05-21 恩特格林斯公司 高傳導靜電夾盤
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
WO2013078152A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Peripheral rf feed and symmetric rf return with rf strap input
US20170004925A1 (en) 2012-02-03 2017-01-05 Comet Ag Power delivery systems and manufacturing equipment including a variable vacuum capacitor
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
JP5351316B1 (ja) 2012-08-15 2013-11-27 株式会社アドバンテスト 試料保持具及びこれを用いた電子ビーム露光方法
JP5996340B2 (ja) 2012-09-07 2016-09-21 東京エレクトロン株式会社 プラズマエッチング装置
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
WO2014057771A1 (ja) 2012-10-12 2014-04-17 株式会社Neomaxマテリアル 金属基板
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
WO2014084060A1 (ja) 2012-11-28 2014-06-05 京セラ株式会社 載置用部材およびその製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
KR101333631B1 (ko) 2012-12-21 2013-11-27 (주)보부하이테크 퀄츠 히터
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US8934826B2 (en) 2013-05-30 2015-01-13 Xerox Corporation Surface tension interference coating process for precise feature control
JP6119430B2 (ja) 2013-05-31 2017-04-26 住友大阪セメント株式会社 静電チャック装置
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
WO2015020813A1 (en) 2013-08-06 2015-02-12 Applied Materials, Inc. Locally heated multi-zone substrate support
CN105453234B (zh) 2013-08-10 2018-11-02 应用材料公司 抛光新的或翻新的静电夹盘的方法
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
CN104752143B (zh) 2013-12-31 2017-05-03 中微半导体设备(上海)有限公司 一种等离子体处理装置
US20150194326A1 (en) 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
KR20160113724A (ko) 2014-02-07 2016-09-30 어플라이드 머티어리얼스, 인코포레이티드 Dsa에서의 보우형 웨이퍼들에 대한 척킹 능력
CN107078086B (zh) 2014-02-07 2021-01-26 恩特格里斯公司 静电夹具以及制造其之方法
US20150243483A1 (en) 2014-02-21 2015-08-27 Lam Research Corporation Tunable rf feed structure for plasma processing
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US20170110385A1 (en) 2014-04-08 2017-04-20 Panasonic Intellectual Property Management Co., Ltd. Heat-conductive sheet and production method therefor
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
JP6424024B2 (ja) 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
TWI665328B (zh) 2014-07-02 2019-07-11 美商應用材料股份有限公司 用於電漿處理的多區域基座
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
KR20160015510A (ko) 2014-07-30 2016-02-15 삼성전자주식회사 정전척 어셈블리, 이를 구비하는 반도체 제조장치, 및 이를 이용한 플라즈마 처리방법
KR101465640B1 (ko) 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
WO2016025573A1 (en) 2014-08-15 2016-02-18 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
US10325800B2 (en) 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
JP6424049B2 (ja) 2014-09-12 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10153136B2 (en) 2015-08-04 2018-12-11 Lam Research Corporation Hollow RF feed with coaxial DC power feed
US10950477B2 (en) 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
TWM546597U (zh) 2015-10-12 2017-08-01 應用材料股份有限公司 用於固持基板之基板載體
US9954508B2 (en) 2015-10-26 2018-04-24 Lam Research Corporation Multiple-output radiofrequency matching module and associated methods
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN116364633A (zh) 2016-01-12 2023-06-30 住友大阪水泥股份有限公司 静电卡盘装置及静电卡盘装置的制造方法
US10249526B2 (en) 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US9644271B1 (en) 2016-05-13 2017-05-09 Lam Research Corporation Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication
US10187032B2 (en) 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10283330B2 (en) 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US20180061684A1 (en) 2016-08-26 2018-03-01 Applied Materials, Inc. Optical heating of light absorbing objects in substrate support
JP6626419B2 (ja) 2016-09-30 2019-12-25 新光電気工業株式会社 静電チャック、基板固定装置
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
CN110235237B (zh) 2017-03-06 2023-12-26 日本碍子株式会社 晶圆支撑台
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
US11732359B2 (en) 2017-03-28 2023-08-22 Sumitomo Electric Industries, Ltd. Wafer holder
JP6948822B2 (ja) 2017-04-25 2021-10-13 東京エレクトロン株式会社 基板処理装置及び基板取り外し方法
KR102658739B1 (ko) 2017-05-03 2024-04-17 램 리써치 코포레이션 컨디셔닝 챔버 컴포넌트
US20180323039A1 (en) 2017-05-05 2018-11-08 Applied Materials, Inc. Active far edge plasma tunability
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
JP6811144B2 (ja) 2017-05-30 2021-01-13 東京エレクトロン株式会社 プラズマ処理装置の静電チャックを運用する方法
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
CN110800379B (zh) 2017-06-27 2022-01-18 佳能安内华股份有限公司 等离子体处理装置
EP3450809A1 (de) * 2017-08-31 2019-03-06 VAT Holding AG Verstellvorrichtung mit spannzangenkupplung für den vakuumbereich
US11469084B2 (en) 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
US20190115241A1 (en) 2017-10-12 2019-04-18 Applied Materials, Inc. Hydrophobic electrostatic chuck
US11532459B2 (en) 2017-11-09 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus with cleaning gas flow guiding member
US10410845B2 (en) 2017-11-22 2019-09-10 Applied Materials, Inc. Using bias RF pulsing to effectively clean electrostatic chuck (ESC)
JP6799549B2 (ja) 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
JP6799550B2 (ja) 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
KR20190090283A (ko) 2018-01-24 2019-08-01 세메스 주식회사 기판 처리 장치
KR102630349B1 (ko) 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
WO2019152528A1 (en) 2018-01-31 2019-08-08 Lam Research Corporation Electrostatic chuck (esc) pedestal voltage isolation
US11232966B2 (en) 2018-02-01 2022-01-25 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
US10636686B2 (en) 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US10515781B1 (en) 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
CN118360588A (zh) 2018-07-05 2024-07-19 朗姆研究公司 衬底处理系统中的衬底支撑件的动态温度控制
US20200013590A1 (en) 2018-07-06 2020-01-09 Tokyo Electron Limited Protective layer for chucks during plasma processing to reduce particle formation
US11183368B2 (en) 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
US12060636B2 (en) 2018-09-21 2024-08-13 Lam Research Corporation Method for conditioning a plasma processing chamber
US20220181127A1 (en) 2019-05-07 2022-06-09 Lam Research Corporation Electrostatic chuck system
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
WO2021096670A1 (en) 2019-11-15 2021-05-20 Lam Research Corporation Frequency based impedance adjustment in tuning circuits
JP2021132148A (ja) 2020-02-20 2021-09-09 東京エレクトロン株式会社 ステージ、プラズマ処理装置及びプラズマ処理方法
KR102830622B1 (ko) 2020-02-24 2025-07-07 와틀로 일렉트릭 매뉴팩츄어링 컴파니 히터를 제어하는 제어 시스템의 동적 교정
JP7762853B2 (ja) 2020-07-03 2025-10-31 パナソニックIpマネジメント株式会社 スパッタ装置およびスパッタ方法
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US12360510B2 (en) 2021-04-20 2025-07-15 Lam Research Corporation Large spot spectral sensing to control spatial setpoints

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6151203A (en) * 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
JP2005347620A (ja) * 2004-06-04 2005-12-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置の載置台ユニット
US20130126206A1 (en) * 2011-11-22 2013-05-23 Applied Materials, Inc. Apparatus and methods for improving reliability of rf grounding
US20150323050A1 (en) * 2012-11-30 2015-11-12 Toshiaki Ohno Shaft end adapter and ball screw assembly
TW201535453A (zh) * 2013-12-20 2015-09-16 Nicholas R White 任意長度之帶狀射束離子源

Also Published As

Publication number Publication date
JP2023017940A (ja) 2023-02-07
WO2019050809A1 (en) 2019-03-14
TW201931425A (zh) 2019-08-01
CN111052299A (zh) 2020-04-21
JP7175967B2 (ja) 2022-11-21
KR102059782B1 (ko) 2019-12-26
CN111052299B (zh) 2023-10-20
CN117488282A (zh) 2024-02-02
US20190071778A1 (en) 2019-03-07
KR102469534B1 (ko) 2022-11-21
JP2020532869A (ja) 2020-11-12
SG11202001872RA (en) 2020-03-30
US12243725B2 (en) 2025-03-04
KR20200040213A (ko) 2020-04-17
KR102615464B1 (ko) 2023-12-19
US11469084B2 (en) 2022-10-11
TW202510006A (zh) 2025-03-01
US20220415620A1 (en) 2022-12-29
KR20220158863A (ko) 2022-12-01
JP7419483B2 (ja) 2024-01-22
KR20190090062A (ko) 2019-07-31

Similar Documents

Publication Publication Date Title
TWI852911B (zh) 熱阻流桿、電漿處理系統及射頻源連接方法
KR102212429B1 (ko) 상호 유도된 필터들
TWI840683B (zh) 耦合環、饋送環系統及電漿電極組件
CN114008749A (zh) 用于补偿射频功率损耗的系统和方法
JP2017041631A (ja) 静電チャック用の凸形の内面を有する環状エッジシール
CN111418052B (zh) 具有一个或更多个加热器层的衬底处理系统印刷电路控制板组件
CN106298499B (zh) 硼掺杂碳的硬掩膜层的选择性去除
JP7774604B2 (ja) 埋め込み温度センサを備えた基板支持体のためのコネクタ
JP2025515651A (ja) 高電力プラズマ処理用途向けの窓縁部ヒータ
US20210305017A1 (en) Inductively coupled plasma chamber heater for controlling dielectric window temperature
US20230178407A1 (en) Floating pcb design for substrate support assembly
TW202240020A (zh) 在高溫沉積序列中操作的低溫基座的傳導冷卻
WO2025226717A1 (en) Semiconductor processing techniques configured for use of a flexible and malleable temperature probe
CN118401760A (zh) 用于磨损防止及高温化学稳定性的部件上的多区涂层
TW202538812A (zh) 針對流動均勻性的碎形噴淋頭
WO2025122447A1 (en) Shield for plasma chambers
WO2025128266A1 (en) Fractal showerhead for flow uniformity
CN114270088A (zh) 用于调整径向和角度偏移的密封接合件