TWI427679B - Film forming apparatus and film forming method - Google Patents
Film forming apparatus and film forming method Download PDFInfo
- Publication number
- TWI427679B TWI427679B TW096122094A TW96122094A TWI427679B TW I427679 B TWI427679 B TW I427679B TW 096122094 A TW096122094 A TW 096122094A TW 96122094 A TW96122094 A TW 96122094A TW I427679 B TWI427679 B TW I427679B
- Authority
- TW
- Taiwan
- Prior art keywords
- gas
- cooling
- film forming
- shower head
- substrate
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 77
- 239000007789 gas Substances 0.000 claims description 208
- 238000001816 cooling Methods 0.000 claims description 122
- 239000000112 cooling gas Substances 0.000 claims description 68
- 230000008569 process Effects 0.000 claims description 48
- 238000010438 heat treatment Methods 0.000 claims description 46
- 239000000758 substrate Substances 0.000 claims description 44
- 238000009792 diffusion process Methods 0.000 claims description 20
- 230000007246 mechanism Effects 0.000 claims description 10
- 238000001514 detection method Methods 0.000 claims description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 3
- 150000003609 titanium compounds Chemical class 0.000 claims description 3
- 238000004590 computer program Methods 0.000 claims description 2
- 239000010408 film Substances 0.000 description 145
- 235000012431 wafers Nutrition 0.000 description 60
- 125000006850 spacer group Chemical group 0.000 description 33
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 26
- 230000015572 biosynthetic process Effects 0.000 description 23
- 230000000052 comparative effect Effects 0.000 description 23
- 239000007921 spray Substances 0.000 description 17
- VZSRBBMJRBPUNF-UHFFFAOYSA-N 2-(2,3-dihydro-1H-inden-2-ylamino)-N-[3-oxo-3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propyl]pyrimidine-5-carboxamide Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)C(=O)NCCC(N1CC2=C(CC1)NN=N2)=O VZSRBBMJRBPUNF-UHFFFAOYSA-N 0.000 description 12
- AFCARXCZXQIEQB-UHFFFAOYSA-N N-[3-oxo-3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propyl]-2-[[3-(trifluoromethoxy)phenyl]methylamino]pyrimidine-5-carboxamide Chemical compound O=C(CCNC(=O)C=1C=NC(=NC=1)NCC1=CC(=CC=C1)OC(F)(F)F)N1CC2=C(CC1)NN=N2 AFCARXCZXQIEQB-UHFFFAOYSA-N 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 230000009471 action Effects 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000005192 partition Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 230000004043 responsiveness Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000002826 coolant Substances 0.000 description 2
- 238000007599 discharging Methods 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 150000002736 metal compounds Chemical class 0.000 description 2
- 230000000414 obstructive effect Effects 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 238000009423 ventilation Methods 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910008484 TiSi Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000010030 laminating Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000002052 molecular layer Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000002816 nickel compounds Chemical class 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000002994 raw material Substances 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 238000006722 reduction reaction Methods 0.000 description 1
- 238000005201 scrubbing Methods 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4557—Heated nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45572—Cooled nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Inorganic Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Description
本發明是有關藉由化學氣相沉積(Chemical Vapor Deposition;CVD)來對基板形成所定的薄膜之成膜裝置及成膜方法。
在半導體製造工程中,為了埋入形成於被處理體的半導體晶圓(以下稱為晶圓)的配線間的孔穴,或作為壁壘層,使Ti、Al、Cu等的金屬或WSi、TiN、TiSi等的金屬化合物堆積,而形成薄膜。該等金屬或金屬化合物的薄膜的成膜手法之一,有CVD法。此方法相較於物理氣相沉積(PVD:Physical Vapor Deposition),具有埋入性良好的優點。
CVD成膜裝置是具備:設置於處理室(chamber)內,內藏有加熱器的晶圓用的平台、及以能夠對向於上述平台的上方之方式設置的處理氣體吐出用的噴灑頭。處理室內的處理空間是形成所定的真空度。平台上的晶圓會一面被加熱至所定的溫度,一面從噴灑頭來將處理氣體連續地供給至處理室內,藉此在晶圓表面產生化學反應,該反應物會被堆積於晶圓表面,進行成膜。
可是,例如使用TiCl4
及NH3
作為處理氣體在晶圓上形成TiN膜時,為了避免從處理氣體產生之低次的TiClx附著於晶圓,有時噴灑頭之接觸於處理空間的部位的溫度控制必要。為了此目的,有時也會在噴灑頭側設置加熱器。
另一方面,為了使良好的膜質的薄膜形成密著性及階梯覆蓋率(Step Coverage)佳,有時會利用CVD之一手法亦即被稱為SFD(sequential flow deposition)的手法來進行成膜處理。SFD是重複進行將含成膜原料的處理氣體斷續地供給至處理室內的處理空間之週期,藉此分子層積層於晶圓,而形成所望厚度的薄膜之手法。
利用SFD來進行成膜時,為了促進斷續性的化學反應,必須以更短時間來賦予處理氣體能量,因此,通常相較於進行以往的CVD時,設於平台的加熱器的溫度會被設定成更高溫。然而,一旦如此平台的加熱器的溫度變高,則在成膜處理中接觸於處理空間的噴灑頭表面的溫度會接受自加熱器所輻射的熱而上昇,使得在該噴灑頭表面也容易形成處理氣體所產生的膜。
在如此的狀況中,一旦在噴灑頭附著膜,則該膜會吸收熱,而導致噴灑頭更昇溫。然後,因該昇溫,更容易在噴灑頭附著膜,使得該噴灑頭更昇溫,陷入所謂的惡性循環。其結果,噴灑頭側的加熱器無法溫度控制,亦即會有不能對成膜處理進行必要的噴灑頭的溫度控制之虞。並且,當噴灑頭為鎳製時,若不能進行噴灑頭的溫度控制而導致噴灑頭的溫度超過容許的範圍,則鎳化合物會生成於噴灑頭,而成為粒子發生的要因。
在此,日本特開2002-327274(特別是段落0038、圖1)中揭示有在噴灑頭的上方設置加熱手段,更在該加熱手段的上方設有冷卻手段之成膜裝置。然而,如SFD那樣,噴灑頭因來自處理空間側的熱而昇溫時,被要求抑止該昇溫的溫度控制時,就揭示於該公報的冷卻手段而言,因為冷卻作用不會直接作用於噴灑頭,而由加熱手段的上方來進行,所以冷卻的應答性差,亦即依然無法達成精度佳地控制面向處理空間之噴灑頭表面的溫度。
本發明是著眼於以上的問題點,予以有效地解決的創作。本發明的目的是在於提供一種可將面向於處理空間的噴灑頭的表面溫度精度佳地控制於設定溫度之成膜裝置及成膜方法。
本發明之成膜裝置的特徵係具備:處理室,其係區劃用以對基板實施成膜處理的處理空間;平台,其係設於上述處理室內,用以載置上述基板;基板用加熱手段,其係設於上述平台,用以加熱上述基板;噴灑頭,其係對向於上述平台來設置,具有多數的氣體吐出孔;氣體供給機構,其係經由上述噴灑頭來對上述處理室內供給處理氣體;冷卻手段,其係設於上述噴灑頭的上方,冷卻該噴灑頭;及噴灑頭用加熱手段,其係設於上述冷卻手段的上方,隔著該冷卻手段來加熱上述噴灑頭。
若根據此特徵,則冷卻手段的冷卻作用會直接作用於噴灑頭,冷卻的應答性佳,亦即可將面向處理空間的噴灑頭表面的溫度予以精度佳地控制於設定溫度。藉此,可在基板間進行均一性高的成膜處理。
例如,上述冷卻手段係具有:複數的冷卻用鰭、及對該冷卻用鰭供給冷卻用氣體的冷卻用氣體供給路。
此情況,最好上述複數的冷卻用鰭係分別立起成延伸於橫方向的板狀,上述複數的冷卻用鰭係互相平行配列,上述冷卻用氣體供給路係應使冷卻用氣體從延伸於上述複數的冷卻用鰭之間的橫方向的間隙的一端側往另一端側流通,具有開口於該一端側的氣體吹出口。
又,最好上述冷卻手段及上述噴灑頭用加熱手段係收納於具備排氣口的框體之中。
又,最好上述噴灑頭係具有連通至上述多數的氣體吐出孔的氣體擴散室,在上述氣體擴散室配置有上述噴灑頭的上面側部與下面側部之間的熱傳導用的多數個柱部。
又,本發明的成膜裝置,最好更具備:溫度檢出部,其係用以檢測出對應於上述噴灑頭的下面之溫度;及控制部,其係根據上述溫度檢出部的溫度檢出值來控制上述噴灑頭用加熱手段。
又,最好上述氣體供給機構可同時或分別分割成多數個週期來將第1處理氣體及第2處理氣體供給至處理空間。此情況,例如上述第1處理氣體為鈦化合物的氣體,上述第2處理氣體為氨氣體。
又,本發明之氣體供給裝置,係被組裝於成膜裝置來使用的氣體供給裝置,該成膜裝置係具備:區劃用以對基板實施成膜處理的處理空間之處理室,及設於上述處理室內,用以載置上述基板之平台,其特徵為具備:噴灑頭,其係對向於上述平台來設置,具有多數的氣體吐出孔;冷卻手段,其係設於上述噴灑頭的上方,冷卻該噴灑頭;及噴灑頭用加熱手段,其係設於上述冷卻手段的上方,隔著該冷卻手段來加熱上述噴灑頭。
噴灑頭用加熱手段,其係設於上述冷卻手段的上方,隔著該冷卻手段來加熱上述噴灑頭。
若根據此特徵,則冷卻手段的冷卻作用會直接作用於噴灑頭,冷卻的應答性佳,亦即可將面向處理空間的噴灑頭表面的溫度予以精度佳地控制於設定溫度。藉此,可在基板間進行均一性高的成膜處理。
又,本發明之成膜方法,係使用成膜裝置來對基板實施成膜處理的方法,該成膜裝置係具備:處理室,其係區劃用以對基板實施成膜處理的處理空間;平台,其係設於上述處理室內,用以載置上述基板;基板用加熱手段,其係設於上述平台,用以加熱上述基板;噴灑頭,其係對向於上述平台來設置,具有多數的氣體吐出孔;氣體供給機構,其係經由上述噴灑頭來對上述處理室內供給處理氣體;冷卻手段,其係設於上述噴灑頭的上方,冷卻該噴灑頭;及噴灑頭用加熱手段,其係設於上述冷卻手段的上方,隔著該冷卻手段來加熱上述噴灑頭,其特徵係具備:將基板載置於平台上的工程;藉由上述基板用加熱手段來加熱上述基板的工程;藉由上述氣體供給機構經上述噴灑頭來對上述處理室內供給處理氣體的工程;藉由設於上述噴灑頭上方的上述冷卻手段來冷卻上述噴灑頭的工程;及藉由設於上述冷卻手段上方的上述噴灑頭用加熱手段來隔著上述冷卻手段加熱上述噴灑頭的工程。
又,本發明之記憶媒體的特徵係具備用以使具有上述特徵的成膜方法實施於電腦之電腦可讀取的電腦程式。
另外,基板可舉半導體晶圓或LCD基板、玻璃基板、陶瓷基板等。
圖1是表示本發明之一實施形態的成膜裝置的概略剖面圖。本實施形態的成膜裝置1是藉由SFD在基板亦即晶圓W上形成TiN薄膜的裝置。
該成膜裝置1具有構成氣密的大略圓筒狀的處理室2。在處理室2的底部中央,突出於下方的圓筒狀(比處理室2更小徑)的平台保持構件21會經由密封環來安裝。藉由處理室2來規定(包圍)處理空間S。處理室2及平台保持構件21是具有未圖示的加熱機構,該等加熱機構可由未圖示的電源來給電而加熱至所定的溫度。
在處理室2的側壁設有用以進行晶圓W的搬出入之搬出入口22。此搬出入口22可藉由閘閥23來開閉。
在平台保持構件21的側壁連接排氣管24。在此排氣管24連接排氣手段25。此排氣手段25可接受來自後述控制部100的控制信號而作動。藉此,處理室2內會被減壓至所定的真空度。
在處理室2中設有用以水平載置基板(晶圓W)的載置台(平台3)。平台3是藉由圓筒形狀的支撐構件31來支撐。支撐構件31的下端是經由未圖示的密封環來安裝於平台保持構件21。
在平台3內埋入有晶圓用加熱器32。此晶圓用加熱器32是由未圖示的電源來供給電,藉此將晶圓W加熱至所定的溫度。
在平台3中,用以支撐晶圓W而使昇降的3根(僅圖示2根)晶圓支撐銷33是設成可對平台3的表面突没。該等的晶圓支撐銷33是被固定於支撐板34,隨著藉由含馬達的驅動機構35來昇降支撐板34而昇降。
在處理室2的上部設有支撐構件2a。以能夠經由此支撐構件2a來對向於平台3的方式設有噴灑頭4。圖2是噴灑頭4的縱剖面圖。一邊參照圖2,一邊說明有關噴灑頭4的構成。噴灑頭4是藉由基底部41、間隔件部51及噴灑板42所構成。在基底部41的中央下面部設有間隔件部51,在間隔件部51的下面側設有噴灑板42。圖中40是將間隔件部51及噴灑板42固定於基底部41的螺絲,圖中40a是螺孔。
基底部41是形成偏平的圓形狀。在其下端的外側設有凸緣部。此凸緣部會被支撐於上述支撐構件2a。在基底部41的內部分別形成有互相被區劃的第1氣體流路41a及第2氣體流路41b。
並且,在比基底部41的凸緣部還要上側部,設有檢測出基底部41的該上側部的溫度之檢出部,亦即感測器4A。此溫度檢出感測器4A會將對應於檢出溫度的電氣信號傳送給後述的控制部100。另外,基底部41是隔著間隔件部51來連接至噴灑板42,因此藉由該溫度檢出感測器4A所檢測出的溫度是形成在噴灑頭4中面向於處理空間S的表面溫度的值。
圖3是表示構成圖2的噴灑頭之間隔件部的上面的立體圖。圖4是表示圖3的間隔件部的下面的立體圖。間隔件部51是具有:圓板部52、及在該圓板部52的周緣分別突出於上下的突緣部53、54。突緣部53的上面是緊貼於上述基底部41。突緣部54的下面是緊貼於噴灑板42。藉由突緣部53、圓板部52及基底部41所圍繞的空間是作為第1氣體擴散室52a。又,藉由突緣部54、圓板部52及噴灑板42所圍繞的空間是作為第2氣體擴散室52b。
第1氣體擴散室52a是與基底部41的第1氣體流路41a連通。並且,在圖3及圖4中雖圖示被省略,但實際如圖2所示,經由設於圓板部52的厚度方向的中間路50來連通基底部41的第2氣體供給路41b與第2氣體擴散室52b。
如圖3所示,在圓板部52的上面側,例如彼此取間隔來延伸至上方的多數個柱部亦即突出物55會被設成島狀。該等各突出物55的上面(前端面)是接於基底部41的下面。藉由後述的冷卻構件6來冷卻基底部41時,各突出物55會效率佳地將基底部41的冷氣(冷熱)傳至間隔件部51。藉此,該間隔件部51的表面及連接於該間隔件部51的噴灑板42的表面之溫度會被高精度地控制。
在本實施形態中,間隔件部51的直徑為340mm。並且,在間隔件部51中接於基底部41的面積的合計為385cm2
程度。此合計面積是間隔件部51對基底部41投射區域的面積的約42%。
如圖4所示,在圓板部52的下面設有在該下面全體彼此取間隔延伸至下方的多數個突起(突出物)56。該等各突出物56的前端面是接於噴灑板42的上面。並且,以能夠在厚度方向貫通各突出物56及圓板部52的方式穿設有氣體導入孔57a。氣體導入孔57a是與第1氣體擴散室52a連通。
另外,在圖3中,基於圖示方便,氣體導入孔57a只描繪數個。然而,實際上是以能夠對應於圖4的各突出物56之方式設有多數個。並且,圖3及圖4只不過是模式性地顯示圓板部的上面及下面者,突出物55及突出物56的大小、各突出物間的間隔、各突出物55,56的個數可分別適當變更。
噴灑板42事形成圓板狀的構件,在厚度方向穿設有多數的氣體吐出孔。氣體吐出孔是例如在噴灑板42全體配列成矩陣狀。該等的氣體吐出孔是藉由連通至第1氣體擴散室52a的第1氣體吐出孔42a、及連通至第2氣體擴散室52b的第2氣體吐出孔42b所構成。第1及第2氣體吐出孔42a、42b是交替配列。
被供給至第1氣體流路41a的氣體是經由第1氣體擴散室52a及氣體導入孔57a來從氣體吐出孔42a噴灑狀地吐出至處理空間S,供給至平台3上的晶圓W。又,被供給至第2氣體流路41b的氣體是經由中間路50及第2氣體擴散室52b來從氣體吐出孔42b噴灑狀地吐出至處理空間S,供給至平台3上的晶圓W。亦即,被供給至第1氣體流路41a的氣體、及被供給至第2氣體流路41b的氣體不會在噴灑頭4內互相混合。
其次,圖5是表示噴灑頭4上方的構成立體圖。如圖5所示,在基底部41上設有例如由鋁所構成的冷卻構件6。
基底部41的上面與冷卻構件6的下面是以能夠在全面密接的方式藉由未圖示的螺絲等來固定。又,為了有效率地進行熱傳導,可在基底部41與冷卻構件6之間設置碳石墨、金屬、陶瓷等高熱傳導率的材質所形成的構件。圖6是冷卻構件6的上面圖。冷卻構件6是藉由圓板狀的基部61、及在該基部61的上面立起的多數個冷卻用鰭62所構成。各冷卻用鰭62是形成延伸於橫方向的板狀,冷卻用鰭62是互相平行配列。
基部61的中央是形成後述之氣體供給區塊81的連接區域。在該基部61的中央,角形的孔61a會被設於基部61的厚度方向。經由該孔61a來連接後述的氣體供給區塊81至噴灑頭4。
如圖6所示,在基部61上,以能夠從該基部61的一端往其中心的方式配設有冷卻氣體導入管63。冷卻氣體導入管63是連接至在基部61上沿著其直徑伸長的冷卻氣體吐出管64的中央部。並且,在基部61上,以能夠圍繞氣體供給區塊81的連接區域之方式設有側管65。側管65的兩端是連接至冷卻氣體吐出管64。
在冷卻氣體吐出管64及側管65中,用以在冷卻用鰭62間的橫長間隙沿著冷卻用鰭62的伸長方向來吐出氣體的氣體吹出口(冷卻氣體吐出孔)66會分別取間隔設置。並且,在冷卻氣體導入管63連接儲存有冷卻氣體例如乾燥空氣的冷卻氣體供給源67。圖中V1是閥,可接受控制部100的電氣信號來控制從冷卻氣體供給源67往冷卻氣體導入管63之冷卻氣體的給斷。
若根據控制部100的電氣信號來開啟閥V1,則如圖7所示,所定流量的冷卻氣體會從冷卻氣體供給源67經由冷卻氣體導入管63來流入冷卻氣體吐出管64及側管65,由冷卻氣體吐出孔66來吐出。所被吐出的冷卻氣體,如圖中箭號所示,沿著冷卻用鰭62往冷卻構件6的周緣部。此時,冷卻用鰭62及基部61的表面會暴露於冷卻氣體的氣流而被冷卻。如此一來,一旦冷卻構件6被冷卻,則隣接的噴灑頭4會被冷卻。
另外,冷卻構件6、冷卻氣體導入管63、冷卻氣體吐出管64、側管65及冷卻氣體供給源67為構成申請專利範圍所稱的冷卻手段。又,冷卻氣體導入管63、冷卻氣體吐出管64及側管65為構成冷卻用氣體供給路。
又,如圖8所示,在冷卻用鰭62的上部,隔著由鋁所構成的板狀構件70來設置噴灑頭用加熱手段,亦即圓板狀的噴灑頭用加熱器71。此加熱器71可隔著板狀構件70及冷卻構件6來加熱噴灑頭4。噴灑頭用加熱器71是例如具有由上下以絕緣材的橡膠薄板73來夾住發熱電阻體72的構成。圖中74是例如由鋁所構成的板狀構件。噴灑頭用加熱器71亦可使用在金屬板中埋入發熱電阻體者。但,由謀求裝置的輕量化的觀點來看,最好是採用上述那樣的構成。
從噴灑頭4的溫度檢出感測器4A來接受電氣信號的控制部100會將電氣信號傳送至噴灑頭用加熱器71,然後調整該加熱器71的輸出,而使該溫度檢出感測器4A的檢出值可成為預定的溫度。噴灑頭用加熱器71是在如此之控制部100的控制下,經由冷卻構件6來加熱噴灑頭4。
藉由發自噴灑頭用加熱器71的熱及已述的冷卻構件6的冷氣(冷熱),在噴灑頭4之面向處理空間S的表面,TiN膜的成膜會被抑止,另一方面,在處理空間S中可取得對晶圓W進行良好的成膜處理之溫度控制。另外,在成膜處理中,為了防止TiN膜形成於噴灑頭4表面,最好其表面的溫度控制在185℃以下。
在基底部41的上部中央設有氣體供給區塊81。如圖1所示,在氣體供給區塊81設有第1氣體供給管81a及第2氣體供給管81b。
第1氣體供給管81a的一端會被連接至第1氣體流路41a。然後,第1氣體供給管81a的另一端會分岐而連接至儲存有第1處理氣體的NH3
氣體之氣體供給源82、及儲存有載流氣體(carrier gas)的N2
(氮)氣體之氣體供給源83。
又,第2氣體供給管81b的一端會被連接至第2氣體流路41b。然後,第2氣體供給管81b的另一端會分岐而連接至儲存有第2處理氣體的TiCl4
氣體之氣體供給源84、儲存有載流氣體的N2
氣體之氣體供給源85,及儲存有洗滌氣體的CIF3
氣體之氣體供給源86。
並且,在各氣體供給管81a,81b中設有藉由閥及質量流控制器等所構成的氣體供給機器群87。此氣體供給機器群87可接受來自後述控制部100的控制信號,而控制各處理氣體的給斷。另外,各氣體供給源82~86、各氣體供給管81a,81b、及氣體供給機器群87是相當於申請專利範圍所稱的氣體供給機構。
圖9是表示成膜裝置1的上面的立體圖。如圖1及圖9所示,在處理室2上,隔著板構件2b設有收納冷卻構件6及噴灑頭用加熱器71的框體之罩蓋27。在圖1中27a是被罩蓋27所圍繞的排氣空間。在罩蓋27的上部設有開口於排氣空間27a的排氣口28a。在排氣口28a連接排氣管29的一端。排氣管29的另一端是被連接至排氣手段29a。
從冷卻氣體的吐出孔66所吐出的冷卻氣體是在將冷卻構件6予以冷卻後,經由排氣空間27a利用排氣手段29a來從排氣管29除去。
在本實施形態的成膜裝置1中設有例如由電腦所構成的控制部100。控制部100是具備由程式、記憶體、CPU所構成的資料處理部等。在上述程式中編有指令,亦即使控制部100可將控制信號送至成膜裝置1的各部,實施後述的各作用,藉此對晶圓W形成TiN膜。並且,例如在記憶體中設有寫入處理壓力、處理時間、氣體流量、電力值等的處理參數的值(處方)之區域。CPU在執行上述程式的各指令時,該等的處理參數會被讀出,對應於該參數值的控制信號會被送至成膜裝置1的各部。
該程式(亦包含與處理參數的輸入用畫面相關的程式)是例如儲存於藉由軟碟、CD(Compact Disc)、MO(光磁碟)等所構成的記憶媒體亦即記憶部101,然後適當地安裝於控制部100。
其次,一邊參照圖10一邊説明有關上述成膜裝置1的作用,在晶圓W表面形成TiN膜的成膜方法。
首先,藉由排氣手段25來對處理室2內進行抽真空。然後,從氣體供給源83以所定的流量來供給惰性氣體的N2
氣體至處理室2內。並且,此時,藉由晶圓用加熱器32來將平台3加熱至所定的溫度例如600℃~700℃程度。而且,處理室2之未圖示的加熱器亦被加熱,處理室2內會被維持於所定的溫度。
晶圓用加熱器32及處理室2之上述未圖示的加熱器昇溫後,從冷卻氣體供給源67例如以流量150L/min來供給冷卻氣體至冷卻氣體吐出管64。此冷卻氣體會自冷卻氣體吐出孔66吐出,冷卻構件6會被冷卻(步驟S1)。另外,例如與冷卻氣體的供給大致同時作動排氣手段29a,對排氣空間27a進行排氣。
在冷卻氣體的供給開始後,氣體噴灑頭用加熱器71會昇溫而隔著冷卻構件6來加熱噴灑頭4。在此,噴灑頭4的溫度會被控制,而使例如藉由溫度檢出感測器4A所檢測出的溫度可被維持於所定的值、例如165℃(步驟S2)。
然後,閘閥23會被開啟,藉由未圖示的搬送臂來將晶圓W搬入處理室2內。然後,藉由該搬送臂與晶圓支撐銷33的共同作業,晶圓W會被載置於平台3的上面,閘閥23會被關閉(步驟S3)。
被載置於平台3的晶圓W會被加熱至所定的溫度(步驟S4)。
圖11是沿著時間序列來表示在晶圓W上形成TiN膜的薄膜之工程的氣體的給斷及壓力的控制的圖表。一旦載置於平台3的晶圓W被加熱至所定的溫度,處理室2內的溫度被維持於所定的溫度,且處理室2內的壓力例如維持於260Pa,則如圖11所示,在時刻t1,兩處理氣體的供給會被開啟。然後,從時刻t1至t2為止,例如TiCl4
氣體及NH3
氣體會分別以所定的流量來供給至處理室2內。該等TiCl4
氣體及NH3
氣體是反應成下記的式(1)那樣,在晶圓W的表面形成TiN膜(步驟S5)。
6TiCl4
+8NH3
→ 6TiN+24HCl+N2
………(1)
接著,在時刻t2,TiCl4
氣體及NH3
氣體的供給會被停止,殘留於處理室2內之未反應的氣體或反應副生成物會被除去。此時,例如可供給N2
氣體作為清淨氣體。然後,在TiCl4
氣體的供給維持停止下,NH3
氣體的供給會以所定的流量進行所定時間(詳細是NH3
氣體外,還供給N2
氣體作為其載流氣體)。藉此,成膜於晶圓W上的TiN中所含的殘留氯會藉由NH3
氣體而還原。藉由此還原反應而產生的氯化物會從處理室2內除去。
然後,NH3
氣體的供給會被停止,該處理室2內的殘留NH3
氣體會被排氣。此時,例如亦可供給N2
氣體。以上,至時刻t3的時間點,完成1週期。
然後,與從時刻t1到時刻t3為止所進行者同様的步驟群會被重複進行。例如,時刻t1~t3的步驟群會被重複10週期以上、最好是30週期以上,至取得所望的TiN膜為止。有關此週期數是根據在1週期所形成的薄膜的膜厚來適當地調整。
在TiN膜完成形成於晶圓W表面後,TiCl4
及NH3
的兩處理氣體的供給會被停止,以所定時間進行處理室2內的清淨。然後,將NH3
氣體與載流氣體的N2
氣體一起供給至處理室2內,進行晶圓W上的TiN膜表面的氮化處理。如此,對所定片數的晶圓W以同様的工程重複進行成膜處理。
在所定片數的晶圓W之成膜處理後,為了除去附著於處理室2內的不要成膜物,而對該處理室2內供給CIF3
氣體,進行洗滌。
若依照前述的成膜裝置1,則在噴灑頭4的上方設有藉由冷卻氣體來冷卻的冷卻構件6,更於其上設有噴灑頭用加熱器71。因此,從處理空間S側藉由晶圓用加熱器32所幅射的熱或從處理室2之未圖示的加熱手段所幅射的熱,造成噴灑頭4的溫度過度昇溫的事態,可藉由設於噴灑頭4正上方的冷卻構件6的冷卻作用來抑止。而且,可藉由輔助性地活用冷卻構件6上方的噴灑頭用加熱器71來調整冷卻作用的程度,因此可將面向處理空間S的噴灑頭表面的溫度予以精度佳地控制於設定溫度。所以,可在晶圓W間進行均一性高的TiN膜的成膜處理。
並且,在構成處理氣體的第1擴散室52a的間隔件部51的上面設有多數的突出物55,而且,在構成處理氣體的第2擴散室的間隔件部51的下面亦設有多數的突出物56。突出物55是接於基底部41,突出物56是接於噴灑板42,藉此在基底部41與間隔件部51之間乃至在間隔件部51與噴灑板42之間,熱傳導會被效率佳地進行。藉此亦可將間隔件部51及噴灑板42表面的溫度予以更高精度控制於設定溫度。
另外,就冷卻手段而言,亦可取代像上述成膜裝置那樣設置冷卻構件6及氣體吐出管64等,例如可採用將內部設置通氣室的區塊設置於噴灑頭4與加熱器71之間,而使冷卻氣體流通於該通氣室的構成。或,冷卻手段亦可使用藉由熱電元件(Peltier device)所構成的冷卻構件。
或,例如流通冷卻液的流路被設於表面的板,亦可作為冷卻手段來設於噴灑頭4上。但,如已述的實施形態那樣設置使用冷卻氣體的冷卻手段之構成,不需要冷卻液流通用的配管設置,因此構成成膜裝置1的零件佈局的自由度高,且可抑止裝置的大型化,較為理想。並且,就上述的實施形態而言,各冷卻用鰭62是立起設置,在各鰭62間的間隙流通冷卻氣體之構成,因此可擴大暴露於冷卻氣體的冷卻構件6的表面積,另一方面可壓低冷卻構件6的樓板面積。所以,可有效地抑止裝置的大型化。
另外,在上述的成膜工程中是重複進行脈衝性導入處理氣體至處理室2內的週期,階段性積層膜,但亦可進行連續性供給處理氣體的CVD成膜處理。又,亦可非同時供給TiCl4
氣體及NH3
氣體至處理室2內,而是分別交替供給,藉此將處理空間S的環境予以交替地多數次切換成TiCl4
氣體的環境及NH3
氣體的環境,而使能夠交替進行晶圓W上之Ti原子層(或分子層)的形成及氮化,形成TiN膜。
又,例如亦可對噴灑頭4施加高頻,使電漿發生於處理室2內,利用該電漿的能量及晶圓用加熱器32的熱能量來對晶圓W進行成膜。
另外,在上述實施形態中是舉TiN膜的成膜處理為例來進行説明,但並非限於此,成膜裝置1亦可適用於Ti膜等其他膜的CVD成膜處理。
又,亦可將由上述成膜裝置1的噴灑頭4、及其上方的冷卻構件6以及用以對該冷卻構件6供給氣體的各配管、加熱器71所構成的氣體供給裝置視為發明。
另外,含成膜裝置1之本發明的成膜裝置、或上述氣體供給裝置是對於進行SFD那樣因來自平台加熱器等的處理空間側的熱而使得噴灑頭4的溫度形成比控制對象溫度更高的處理時特別有效。
<實施例>
接著,為了確認本發明的效果,而查證以下的實施例及比較例。
在以下的實施例中是使用已述的成膜裝置1。另一方面,在比較例中是使用圖12所示的以往例的成膜裝置9。在此,成膜裝置9是除了以下說明的相異點以外,其餘則與成膜裝置1大略同様構成。在圖12中,有關具有和成膜裝置1同様構成的各部是使用與成膜裝置1同樣的符號。另外,設於成膜裝置9的控制部的圖示雖被省略,但成膜裝置9的控制部也是與控制部100同様,可控制成膜裝置9的各部,而使能夠在晶圓W形成TiN膜。
與成膜裝置1的相異點,是成膜裝置9在噴灑頭104的上方未設有冷卻構件6及罩蓋27等。取而代之,加熱器91被積層於噴灑頭104上。並且,在加熱器91上積層隔熱材92。
又,成膜裝置9的噴灑頭104是取代具有前述構成的間隔件部51,而設置具有以往構成的間隔件部93。利用圖13來說明有關間隔件部93的構成。圖13是表示構成圖12的成膜裝置9的噴灑頭之間隔件部93的上面的立體圖。如圖13所示,在間隔件部93的圓板部152的上面未設有突出物。取而代之,沿著圓板部152的直徑方向來設置彼此正交的2根肋材94。肋材94的上面會緊貼於基底部41的下面。間隔件部93的直徑是與成膜裝置1的間隔件部51的直徑相同340mm,但在間隔件部93中接於基底部41的面積的合計為276cm2
。這是相當於間隔件部93對基底部41投射區域的面積的約30%,要比間隔件部51接於基底部41的面積更小。
利用成膜裝置1,按照本發明的實施形態前述的程序來對晶圓W進行TiN膜的成膜。成膜處理中,加熱器71的溫度是被設定成165℃,從冷卻氣體供給源67供給至冷卻構件6的冷卻氣體的流量是被設定成150L/min。並且,在噴灑頭4的表面(與處理空間S接觸的面)暫時性地貼附有由熱電對所構成的溫度檢出感測器(TC),一邊變更平台3的晶圓用加熱器32的溫度,一邊調查藉由上述溫度檢出感測器(TC)所檢測出之噴灑頭4的溫度。
利用成膜裝置9來對晶圓W進行TiN膜的成膜。成膜處理中,一邊變更平台3的晶圓用加熱器32的設定溫度,一邊調查藉由安裝於噴灑頭104表面的溫度檢出感測器(TC)所檢測出之該噴灑頭104的溫度。噴灑頭104上的加熱器91的設定溫度為170℃。
圖14A是表示實施例1-1及比較例1-1的結果。如圖14A的圖表所示,若平台3的加熱器32的溫度設定較高,則相較於實施例1-1,在比較例1-1中,藉由噴灑頭104表面的溫度檢出感測器TC所檢測出的溫度會急劇地變高。因此,由圖表可知,實施例1-1之面向處理空間S的噴灑頭4的表面溫度相較於比較例1-1之噴灑頭104的表面溫度,會被壓制。
又,實施例1-1的圖表的傾斜度要比比較例1-1的圖表的傾斜度小。由此可謂,在實施例1-1中,相較於比較例1-1,噴灑頭的溫度上昇會被壓制。
在成膜裝置1中,在面向於處理空間S的噴灑頭4表面,預先形成(預塗佈)有TiN膜。利用如此的成膜裝置1,作為實施例1-2,按照本發明的實施形態前述的程序來對晶圓W進行TiN膜的成膜。成膜處理的各種條件是與實施例1-1同様,且在噴灑頭4的表面(與處理空間S接觸的面)暫時性地貼附有由熱電對所構成的溫度檢出感測器(TC),一邊變更平台3的晶圓用加熱器32的溫度,一邊調查藉由上述溫度檢出感測器(TC)所檢測出之噴灑頭4的溫度。
與實施例1-2同様,在成膜裝置9中,在面向處理空間S的噴灑頭104表面預先形成(預塗佈)有TiN膜。利用如此的成膜裝置9來對晶圓W進行TiN膜的成膜。成膜處理的各種條件是與比較例1-1同様,且一邊變更平台3的晶圓用加熱器32的設定溫度,一邊調查安裝於噴灑頭104表面的溫度檢出感測器(TC)所檢測出之該噴灑頭104的溫度。
圖14B是表示實施例1-2及比較例1-2的結果。如圖14B的圖表所示,相較於實施例1-2,在比較例1-2中,藉由上述溫度檢出感測器TC所檢測出之噴灑頭4的溫度會變高。因此,由此圖表可知,實施例1-2的噴灑頭4的表面溫度相較於比較例1-2的噴灑頭104的表面溫度,會被壓制。
並且,在圖14B的圖表的600℃~700℃附近的溫度範圍中,實施例1-2的圖表的傾斜度要比比較例1-2的圖表的傾斜度小。由此可謂,在此溫度範圍中,就實施例1-2而言,相較於比較例1-2,噴灑頭的溫度上昇會被壓制。
在實施例2是利用已述的成膜裝置1,按照本發明的實施形態前述的程序來對500片的晶圓W依序進行TiN膜的成膜。在該等的成膜處理時,溫度檢出感測器4A所顯示之噴灑頭4的溫度變化、及該噴灑頭4上的加熱器71的輸出會被監控。另外,控制部100的程式是設定成可調整加熱器71的溫度,亦即在成膜處理中感測器4A的溫度應被維持於165℃。
<比較例2>
在比較例2是利用已述的成膜裝置9,按照本發明的實施形態前述的程序來對500片的晶圓W依序進行TiN膜的成膜。在該等的成膜處理時,溫度檢出感測器(與溫度檢出感測器4A同様設置)所顯示之噴灑頭104的溫度變化、及該噴灑頭104上的加熱器91的輸出會被監控。另外,成膜裝置9之控制部的程式是設定成可調整加熱器91的溫度,亦即在成膜處理中上述溫度檢出感測器的溫度應被維持於170℃。
圖15A是表示實施例2及比較例2所被監控的溫度。實施例2是按設定的溫度165℃推移。相對的,比較例2則是在剛處理開始之後,超過所被設定的溫度170℃,然後隨著時間的經過,溫度更上昇。
圖15B是表示實施例2之加熱器71的輸出及比較例2之加熱器92的輸出。圖15B的圖表的橫軸所示的時間是對應於圖15A的圖表的橫軸所示的時間。如圖15B的圖表所示,實施例2之加熱器71的輸出是在剛處理開始之後上昇至90%程度後,下降至50%程度,在該50%周邊安定。相對的,在比較例2中則是在剛開始之後輸出降低,約成0%。
由圖15A及圖15B的圖表可知,在實施例2中,可藉由冷卻構件6及加熱器71來將噴灑頭4安定地溫度控制。因此,噴灑頭4之對向於處理空間S的表面溫度會被適當地控制,可抑止其表面之TiN膜的成膜。另一方面,在比較例2中,即使加熱器71的輸出為零,照樣噴灑頭104的溫度持續上昇。亦即,可知噴灑頭104的溫度無法充分地控制。
1...成膜裝置
2...處理室
2a...支撐構件
2b...板構件
3...平台
4...噴灑頭
4A...溫度檢出感測器
6...冷卻構件
9...成膜裝置
21...平台保持構件
22...搬出入口
23...閘閥
24...排氣管
25...排氣手段
27...罩蓋
27a...排氣空間
28a...排氣口
29...排氣管
29a...排氣手段
31...支撐構件
32...晶圓用加熱器
33...晶圓支撐銷
34...支撐板
35...驅動機構
40a...螺絲孔
41...基底部
41a...第1氣體流路
41b...第2氣體流路
42...噴灑板
42a...第1氣體吐出孔
42b...第2氣體吐出孔
50...中間路
51...間隔件部
52...圓板部
52a...第1氣體拡散室
52b...第2氣體拡散室
53...突緣部
54...突緣部
55...突出物
56...突出物
57a...氣體導入孔
61...基部
61a...角形的孔
62...冷卻用鰭
63...冷卻氣體導入管
64...冷卻氣體吐出管
65...側管
66...氣體吹出口(冷卻氣體吐出孔)
67...冷卻氣體供給源
70...板狀構件
71...加熱器
72...發熱電阻體
73...橡膠薄板
74...板狀構件
81...氣體供給區塊
81a...第1氣體供給管
81b...第2氣體供給管
82...氣體供給源
83...氣體供給源
84...氣體供給源
85...氣體供給源
86...氣體供給源
87...氣體供給機器群
91...加熱器
93...間隔件部
94...肋材
100...控制部
101...記憶部
104...噴灑頭
152...圓板部
S...處理空間
S1...步驟
S2...步驟
S3...步驟
S4...步驟
S5...步驟
V1...閥
圖1是表示本發明的成膜裝置之一實施形態的概略剖面圖。
圖2是表示圖1的成膜裝置的噴灑頭的擴大剖面圖。
圖3是表示構成圖2的噴灑頭之間隔件部的上面的立體圖。
圖4是表示圖3的間隔件部的下面的立體圖。
圖5是表示圖2的噴灑頭的上方的各構件的構成立體圖。
圖6是表示圖2的噴灑頭的上方的冷卻構件的上面圖。
圖7是用以說明對圖6的冷卻構件供給冷卻氣體的狀態的上面圖。
圖8是表示圖2的噴灑頭的上方的加熱器的剖面圖。
圖9是表示圖1的成膜裝置的上面側的各構件的構成立體圖。
圖10是表示晶圓的成膜方法之一實施形態的流程圖。
圖11是表示圖10的成膜工程中之各處理氣體的開啟或關閉供給的説明圖。
圖12是表示作為比較例用的以往成膜裝置的概略剖面圖。
圖13是表示構成圖12的成膜裝置的噴灑頭之間隔件部的上面的立體圖。
圖14A是表示有關噴灑頭未被預塗佈時,使用本發明的成膜裝置之一實施形態的成膜處理時、及使用以往的成膜裝置的成膜處理時,噴灑頭的溫度。
圖14B是表示有關噴灑頭被預塗佈時,使用本發明的成膜裝置之一實施形態的成膜處理時、及使用以往的成膜裝置的成膜處理時,噴灑頭的溫度。
圖15A是表示本發明的成膜裝置之一實施形態的噴灑頭的溫度、及以往的成膜裝置的噴灑頭的溫度。
圖15B是表示本發明的成膜裝置之一實施形態的加熱器的輸出、及以往的成膜裝置的加熱器的輸出。
1...成膜裝置
2...處理室
2a...支撐構件
2b...板構件
3...平台
4...噴灑頭
4A...溫度檢出感測器
6...冷卻構件
21...平台保持構件
22...搬出入口
23...閘閥
24...排氣管
25...排氣手段
27...罩蓋
27a...排氣空間
28a...排氣口
29...排氣管
29a...排氣手段
31...支撐構件
32...晶圓用加熱器
33...晶圓支撐銷
34...支撐板
35...驅動機構
41...基底部
41a...第1氣體流路
41b...第2氣體流路
42...噴灑板
51...間隔件部
62...冷却用鰭
63...冷卻氣體導入管
64...冷卻氣體吐出管
66...氣體吹出口(冷卻氣體吐出孔)
67...冷卻氣體供給源
70...板狀構件
71...加熱器
74...板狀構件
81...氣體供給區塊
81a...第1氣體供給管
81b...第2氣體供給管
82...氣體供給源
83...氣體供給源
84...氣體供給源
85...氣體供給源
86...氣體供給源
87...氣體供給機器群
100...控制部
101...記憶部
S...處理空間
V1...閥
W...晶圓
Claims (23)
- 一種成膜裝置,其特徵係具備:處理室,其係區劃用以對基板實施成膜處理的處理空間;平台,其係設於上述處理室內,用以載置上述基板;基板用加熱手段,其係設於上述平台,用以加熱上述基板;噴灑頭,其係對向於上述平台來設置,具有多數的氣體吐出孔;氣體供給機構,其係經由上述噴灑頭來對上述處理室內供給處理氣體;冷卻手段,其係設於上述噴灑頭的上方,冷卻該噴灑頭;及噴灑頭用加熱手段,其係設於上述冷卻手段的上方,隔著該冷卻手段來加熱上述噴灑頭。
- 如申請專利範圍第1項之成膜裝置,其中,上述冷卻手段係具有:複數的冷卻用鰭、及對該冷卻用鰭供給冷卻用氣體的冷卻用氣體供給路。
- 如申請專利範圍第2項之成膜裝置,其中,上述複數的冷卻用鰭係分別立起成延伸於橫方向的板狀, 上述複數的冷卻用鰭係互相平行配列,上述冷卻用氣體供給路係應使冷卻用氣體從延伸於上述複數的冷卻用鰭之間的橫方向的間隙的一端側往另一端側流通,具有開口於該一端側的氣體吹出口。
- 如申請專利範圍第1~3項中任一項所記載之成膜裝置,其中,上述冷卻手段及上述噴灑頭用加熱手段係收納於具備排氣口的框體之中。
- 如申請專利範圍第1~3項中任一項所記載之成膜裝置,其中,上述噴灑頭係具有連通至上述多數的氣體吐出孔的氣體擴散室,在上述氣體擴散室配置有上述噴灑頭的上面側部與下面側部之間的熱傳導用的多數個柱部。
- 如申請專利範圍第1~3項中任一項所記載之成膜裝置,其中,更具備:溫度檢出部,其係用以檢測出對應於上述噴灑頭的下面之溫度;及控制部,其係根據上述溫度檢出部的溫度檢出值來控制上述噴灑頭用加熱手段。
- 如申請專利範圍第1~3項中任一項所記載之成膜裝置,其中,上述氣體供給機構可同時或分別分割成多數個週期來將第1處理氣體及第2處理氣體供給至處理空間。
- 如申請專利範圍第7項之成膜裝置,其中,上述 第1處理氣體為鈦化合物的氣體,上述第2處理氣體為氨氣體。
- 一種氣體供給裝置,係被組裝於成膜裝置來使用的氣體供給裝置,該成膜裝置係具備:區劃用以對基板實施成膜處理的處理空間之處理室,及設於上述處理室內,用以載置上述基板之平台,其特徵為具備:噴灑頭,其係對向於上述平台來設置,具有多數的氣體吐出孔;冷卻手段,其係設於上述噴灑頭的上方,冷卻該噴灑頭;及噴灑頭用加熱手段,其係設於上述冷卻手段的上方,隔著該冷卻手段來加熱上述噴灑頭。
- 如申請專利範圍第9項之氣體供給裝置,其中,上述冷卻手段係具有:複數的冷卻用鰭、及對該冷卻用鰭供給冷卻用氣體的冷卻用氣體供給路。
- 如申請專利範圍第10項之氣體供給裝置,其中,上述複數的冷卻用鰭係分別立起成延伸於橫方向的板狀,上述複數的冷卻用鰭係互相平行配列,上述冷卻用氣體供給路係應使冷卻用氣體從延伸於上述複數的冷卻用鰭之間的橫方向的間隙的一端側往另一端側流通,具有開口於該一端側的氣體吹出口。
- 如申請專利範圍第9~11項中任一項所記載之氣體供給裝置,其中,上述冷卻手段及上述噴灑頭用加熱手段係收納於具備排氣口的框體之中。
- 如申請專利範圍第9~11項中任一項所記載之氣體供給裝置,其中,上述噴灑頭係具有連通至上述多數的氣體吐出孔的氣體擴散室,在上述氣體擴散室配置有上述噴灑頭的上面側部與下面側部之間的熱傳導用的多數個柱部。
- 如申請專利範圍第9~11項中任一項所記載之氣體供給裝置,其中,更具備:溫度檢出部,其係用以檢測出對應於上述噴灑頭的下面之溫度;及控制部,其係根據上述溫度檢出部的溫度檢出值來控制上述噴灑頭用加熱手段。
- 一種成膜方法,係使用成膜裝置來對基板實施成膜處理的方法,該成膜裝置係具備:處理室,其係區劃用以對基板實施成膜處理的處理空間;平台,其係設於上述處理室內,用以載置上述基板;基板用加熱手段,其係設於上述平台,用以加熱上述基板;噴灑頭,其係對向於上述平台來設置,具有多數的氣體吐出孔; 氣體供給機構,其係經由上述噴灑頭來對上述處理室內供給處理氣體;冷卻手段,其係設於上述噴灑頭的上方,冷卻該噴灑頭;及噴灑頭用加熱手段,其係設於上述冷卻手段的上方,隔著該冷卻手段來加熱上述噴灑頭,其特徵係具備:將基板載置於平台上的工程;藉由上述基板用加熱手段來加熱上述基板的工程;藉由上述氣體供給機構經上述噴灑頭來對上述處理室內供給處理氣體的工程;藉由設於上述噴灑頭上方的上述冷卻手段來冷卻上述噴灑頭的工程;及藉由設於上述冷卻手段上方的上述噴灑頭用加熱手段來隔著上述冷卻手段加熱上述噴灑頭的工程。
- 如申請專利範圍第15項之成膜方法,其中,上述冷卻手段係具有:複數的冷卻用鰭、及對該冷卻用鰭供給冷卻用氣體的冷卻用氣體供給路,藉由上述冷卻手段來冷卻上述噴灑頭的工程,係包含對上述冷卻用鰭供給上述冷卻用氣體的工程。
- 如申請專利範圍第16項之成膜方法,其中,上述複數的冷卻用鰭係分別立起成延伸於橫方向的板狀,上述複數的冷卻用鰭係互相平行配列, 上述冷卻用氣體供給路係應使冷卻用氣體從延伸於上述複數的冷卻用鰭之間的橫方向的間隙的一端側往另一端側流通,具有開口於該一端側的氣體吹出口。
- 如申請專利範圍第15~17項中任一項所記載之成膜方法,其中,上述冷卻手段及上述噴灑頭用加熱手段係收納於具備排氣口的框體之中。
- 如申請專利範圍第15~17項中任一項所記載之成膜方法,其中,上述噴灑頭係具有連通至上述多數的氣體吐出孔的氣體擴散室,在上述氣體擴散室配置有上述噴灑頭的上面側部與下面側部之間的熱傳導用的多數個柱部。
- 如申請專利範圍第15~17項中任一項所記載之成膜方法,其中,上述成膜裝置更具備:溫度檢出部,其係用以檢測出對應於上述噴灑頭的下面之溫度;及控制部,其係根據上述溫度檢出部的溫度檢出值來控制上述噴灑頭用加熱手段,上述成膜方法更具備:藉由上述溫度檢出部來檢測出對應於上述噴灑頭的下面之溫度的工程;及藉由上述控制部,根據上述溫度檢出部的溫度檢出值來控制上述噴灑頭用加熱手段的工程。
- 如申請專利範圍第15~17項中任一項所記載之成膜方法,其中,藉由上述氣體供給機構經上述噴灑頭來對上述處理室內供給處理氣體的工程係包含:同時或分別分割成多數個週期來將第1處理氣體及第2處理氣體供給至處理空間,使薄膜的成份層重疊於基板而積層的工程。
- 如申請專利範圍第21項之成膜方法,其中,上述第1處理氣體為鈦化合物的氣體,上述第2處理氣體為氨氣體。
- 一種記憶媒體,其特徵係具備使申請專利範圍第15~22項中任一項所記載的成膜方法實施於電腦之電腦可讀取的電腦程式,該成膜方法係使用成膜裝置來對基板實施成膜處理的方法,該成膜裝置係具備:處理室,其係區劃用以對基板實施成膜處理的處理空間;平台,其係設於上述處理室內,用以載置上述基板;基板用加熱手段,其係設於上述平台,用以加熱上述基板;噴灑頭,其係對向於上述平台來設置,具有多數的氣體吐出孔;氣體供給機構,其係經由上述噴灑頭來對上述處理室內供給處理氣體;冷卻手段,其係設於上述噴灑頭的上方,冷卻該噴灑頭;及噴灑頭用加熱手段,其係設於上述冷卻手段的上方, 隔著該冷卻手段來加熱上述噴灑頭。
Applications Claiming Priority (1)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| JP2006170585A JP5045000B2 (ja) | 2006-06-20 | 2006-06-20 | 成膜装置、ガス供給装置、成膜方法及び記憶媒体 |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| TW200814149A TW200814149A (en) | 2008-03-16 |
| TWI427679B true TWI427679B (zh) | 2014-02-21 |
Family
ID=38833434
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| TW096122094A TWI427679B (zh) | 2006-06-20 | 2007-06-20 | Film forming apparatus and film forming method |
Country Status (6)
| Country | Link |
|---|---|
| US (1) | US8133323B2 (zh) |
| JP (1) | JP5045000B2 (zh) |
| KR (1) | KR101089977B1 (zh) |
| CN (1) | CN101365823B (zh) |
| TW (1) | TWI427679B (zh) |
| WO (1) | WO2007148692A1 (zh) |
Families Citing this family (434)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP5202050B2 (ja) * | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
| FR2930561B1 (fr) * | 2008-04-28 | 2011-01-14 | Altatech Semiconductor | Dispositif et procede de traitement chimique en phase vapeur. |
| KR20110025185A (ko) * | 2008-05-28 | 2011-03-09 | 아익스트론 아게 | 열 구배 보강 화학 기상 증착 |
| US20110070370A1 (en) | 2008-05-28 | 2011-03-24 | Aixtron Ag | Thermal gradient enhanced chemical vapour deposition (tge-cvd) |
| JP2010016225A (ja) * | 2008-07-04 | 2010-01-21 | Tokyo Electron Ltd | 温度調節機構および温度調節機構を用いた半導体製造装置 |
| JP5231117B2 (ja) | 2008-07-24 | 2013-07-10 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
| JP5106331B2 (ja) | 2008-09-16 | 2012-12-26 | 東京エレクトロン株式会社 | 基板載置台の降温方法、コンピュータ読み取り可能な記憶媒体および基板処理システム |
| US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
| US8931431B2 (en) | 2009-03-25 | 2015-01-13 | The Regents Of The University Of Michigan | Nozzle geometry for organic vapor jet printing |
| US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
| US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
| KR101108879B1 (ko) * | 2009-08-31 | 2012-01-30 | 주식회사 원익아이피에스 | 가스분사장치 및 이를 이용한 기판처리장치 |
| US20110061812A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| US20110065276A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| US20110061810A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| JP5323628B2 (ja) * | 2009-09-17 | 2013-10-23 | 東京エレクトロン株式会社 | プラズマ処理装置 |
| WO2011044451A2 (en) * | 2009-10-09 | 2011-04-14 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
| JP2011168881A (ja) * | 2010-01-25 | 2011-09-01 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
| JP5409413B2 (ja) * | 2010-01-26 | 2014-02-05 | 日本パイオニクス株式会社 | Iii族窒化物半導体の気相成長装置 |
| US9441295B2 (en) * | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
| JP5982758B2 (ja) * | 2011-02-23 | 2016-08-31 | 東京エレクトロン株式会社 | マイクロ波照射装置 |
| US20120225203A1 (en) * | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
| US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
| US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
| US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
| US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
| CN103014669B (zh) * | 2011-09-23 | 2014-11-26 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
| CN103014667B (zh) * | 2011-09-23 | 2015-07-01 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
| CN103014665B (zh) * | 2011-09-23 | 2015-02-18 | 理想能源设备(上海)有限公司 | 金属有机化合物化学气相沉积装置及其气体输送方法 |
| CN103014668B (zh) * | 2011-09-23 | 2014-12-24 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
| CN103014666B (zh) * | 2011-09-23 | 2014-11-26 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
| US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
| JP6038618B2 (ja) * | 2011-12-15 | 2016-12-07 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
| KR101327458B1 (ko) * | 2012-01-10 | 2013-11-08 | 주식회사 유진테크 | 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치 |
| CN103388132B (zh) * | 2012-05-11 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 气体喷淋头、其制造方法及薄膜生长反应器 |
| US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
| US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
| US9132436B2 (en) * | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
| US10714315B2 (en) * | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
| US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
| JP6377642B2 (ja) * | 2013-02-06 | 2018-08-22 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ガス注入装置及びその装置を組み込む基板プロセスチャンバ |
| US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
| US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
| JP2013110440A (ja) * | 2013-03-11 | 2013-06-06 | Tokyo Electron Ltd | 電極ユニット及び基板処理装置 |
| TWI627305B (zh) * | 2013-03-15 | 2018-06-21 | 應用材料股份有限公司 | 用於轉盤處理室之具有剛性板的大氣蓋 |
| JP2014192372A (ja) * | 2013-03-27 | 2014-10-06 | Tokyo Electron Ltd | マイクロ波加熱処理装置 |
| KR101467195B1 (ko) * | 2013-05-14 | 2014-12-01 | 주식회사 아바코 | 가스 분사기 및 이를 포함하는 박막 증착 장치 |
| KR101526505B1 (ko) * | 2013-06-20 | 2015-06-09 | 피에스케이 주식회사 | 냉각 유닛 및 이를 이용한 냉각 방법, 기판 처리 장치 및 이를 이용한 기판 처리 방법 |
| JP6180208B2 (ja) * | 2013-07-08 | 2017-08-16 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
| US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
| KR20150055227A (ko) * | 2013-11-13 | 2015-05-21 | 삼성전자주식회사 | 샤워 헤드 및 이를 포함하는 기판 처리 장치 |
| JP6135475B2 (ja) * | 2013-11-20 | 2017-05-31 | 東京エレクトロン株式会社 | ガス供給装置、成膜装置、ガス供給方法及び記憶媒体 |
| JP5971870B2 (ja) | 2013-11-29 | 2016-08-17 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び記録媒体 |
| JP5726281B1 (ja) * | 2013-12-27 | 2015-05-27 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
| JP6123688B2 (ja) * | 2014-01-29 | 2017-05-10 | 東京エレクトロン株式会社 | 成膜装置 |
| US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
| US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
| US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
| FR3018825B1 (fr) * | 2014-03-21 | 2017-09-01 | Altatech Semiconductor | Procede de depot en phase gazeuse |
| SG10201810178TA (en) | 2014-05-16 | 2018-12-28 | Applied Materials Inc | Showerhead design |
| US9911579B2 (en) | 2014-07-03 | 2018-03-06 | Applied Materials, Inc. | Showerhead having a detachable high resistivity gas distribution plate |
| US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
| US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
| US10407771B2 (en) * | 2014-10-06 | 2019-09-10 | Applied Materials, Inc. | Atomic layer deposition chamber with thermal lid |
| US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
| US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
| KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
| US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
| US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
| JP6193284B2 (ja) * | 2015-03-18 | 2017-09-06 | 株式会社東芝 | 流路構造、吸排気部材、及び処理装置 |
| CN104789943A (zh) * | 2015-04-01 | 2015-07-22 | 沈阳拓荆科技有限公司 | 控温型双气体通道均匀喷气喷淋板 |
| US9972740B2 (en) | 2015-06-07 | 2018-05-15 | Tesla, Inc. | Chemical vapor deposition tool and process for fabrication of photovoltaic structures |
| US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
| US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
| US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
| US20170029948A1 (en) * | 2015-07-28 | 2017-02-02 | Asm Ip Holding B.V. | Methods and apparatuses for temperature-indexed thin film deposition |
| US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
| US10204790B2 (en) | 2015-07-28 | 2019-02-12 | Asm Ip Holding B.V. | Methods for thin film deposition |
| US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
| US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
| US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
| US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
| US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
| US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
| US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
| US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
| US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
| US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
| US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
| US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
| US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
| KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
| US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
| US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
| EP3255173B1 (de) * | 2016-06-06 | 2018-11-21 | Meyer Burger (Germany) AG | Fluidtemperierter gasverteiler in schichtbauweise |
| JP6696322B2 (ja) * | 2016-06-24 | 2020-05-20 | 東京エレクトロン株式会社 | ガス処理装置、ガス処理方法及び記憶媒体 |
| US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
| US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
| US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
| US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
| KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
| US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
| US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
| US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
| US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
| US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
| US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
| US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
| US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
| US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
| WO2018083989A1 (ja) * | 2016-11-02 | 2018-05-11 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
| US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
| KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
| US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
| KR102762543B1 (ko) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
| US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
| KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
| US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
| US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
| US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
| US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
| US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
| KR102096700B1 (ko) * | 2017-03-29 | 2020-04-02 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 장치 및 기판 처리 방법 |
| US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
| KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
| US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
| US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
| US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
| US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
| US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
| US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
| US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
| US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
| KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
| US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
| US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
| US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
| US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
| US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
| US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
| TWI815813B (zh) | 2017-08-04 | 2023-09-21 | 荷蘭商Asm智慧財產控股公司 | 用於分配反應腔內氣體的噴頭總成 |
| US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
| US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
| US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
| US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
| US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
| US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
| USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
| US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
| US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
| US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
| KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
| KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
| US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
| US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
| US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
| US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
| US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
| KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
| US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
| US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
| CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
| US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
| US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
| US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
| KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
| TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
| USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
| US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
| USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
| US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
| US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
| US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
| KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
| US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
| US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
| US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
| US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
| US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
| KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
| US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
| US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
| KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
| JP7093667B2 (ja) * | 2018-04-11 | 2022-06-30 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
| US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
| TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
| US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
| TWI879056B (zh) | 2018-05-11 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
| KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
| TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
| US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
| US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
| US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
| KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
| TWI871083B (zh) | 2018-06-27 | 2025-01-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
| JP7515411B2 (ja) | 2018-06-27 | 2024-07-12 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
| US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
| KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
| US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
| US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
| US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
| US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
| US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
| JP6715894B2 (ja) * | 2018-08-07 | 2020-07-01 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
| US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
| US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
| US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
| KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
| US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
| CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
| US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
| US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
| US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
| KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
| KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
| USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
| US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
| US12378665B2 (en) | 2018-10-26 | 2025-08-05 | Asm Ip Holding B.V. | High temperature coatings for a preclean and etch apparatus and related methods |
| US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
| KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
| US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
| US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
| US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
| US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
| US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
| US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
| US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
| KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
| US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
| TWI874340B (zh) | 2018-12-14 | 2025-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
| JP7224175B2 (ja) * | 2018-12-26 | 2023-02-17 | 東京エレクトロン株式会社 | 成膜装置及び方法 |
| TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
| KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
| KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
| TWI873122B (zh) | 2019-02-20 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
| TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
| TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
| TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
| US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
| KR102858005B1 (ko) | 2019-03-08 | 2025-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
| KR102782593B1 (ko) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
| JP7520868B2 (ja) * | 2019-03-11 | 2024-07-23 | アプライド マテリアルズ インコーポレイテッド | 基板処理チャンバ用のリッドアセンブリ装置及び方法 |
| KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
| KR102809999B1 (ko) | 2019-04-01 | 2025-05-19 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
| US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
| KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
| KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
| KR102869364B1 (ko) | 2019-05-07 | 2025-10-10 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
| KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
| JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
| JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
| USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
| USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
| USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
| USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
| KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
| KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
| KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
| USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
| USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
| KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
| KR102268559B1 (ko) * | 2019-07-03 | 2021-06-22 | 세메스 주식회사 | 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템 |
| JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
| CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
| KR102895115B1 (ko) | 2019-07-16 | 2025-12-03 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
| KR102860110B1 (ko) | 2019-07-17 | 2025-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
| US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
| CN112242295B (zh) | 2019-07-19 | 2025-12-09 | Asmip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
| TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
| CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
| KR20210015655A (ko) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 방법 |
| CN112309899B (zh) | 2019-07-30 | 2025-11-14 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112309900B (zh) | 2019-07-30 | 2025-11-04 | Asmip私人控股有限公司 | 基板处理设备 |
| US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
| KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
| USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
| USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
| JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
| KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
| USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
| USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
| USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
| USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
| KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
| US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
| KR102806450B1 (ko) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
| KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US12469693B2 (en) | 2019-09-17 | 2025-11-11 | Asm Ip Holding B.V. | Method of forming a carbon-containing layer and structure including the layer |
| US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
| CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
| KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
| TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
| TW202128273A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法 |
| KR102879443B1 (ko) | 2019-10-10 | 2025-11-03 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
| US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
| TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
| US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
| KR102845724B1 (ko) | 2019-10-21 | 2025-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
| KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
| KR102890638B1 (ko) | 2019-11-05 | 2025-11-25 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
| US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
| KR102861314B1 (ko) | 2019-11-20 | 2025-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
| US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
| CN112951697B (zh) | 2019-11-26 | 2025-07-29 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112885693B (zh) | 2019-11-29 | 2025-06-10 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112885692B (zh) | 2019-11-29 | 2025-08-15 | Asmip私人控股有限公司 | 基板处理设备 |
| JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
| KR20210070898A (ko) * | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| JP7703317B2 (ja) | 2019-12-17 | 2025-07-07 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
| KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| TWI887322B (zh) | 2020-01-06 | 2025-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
| JP7730637B2 (ja) | 2020-01-06 | 2025-08-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
| US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
| KR102882467B1 (ko) | 2020-01-16 | 2025-11-05 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
| KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
| TWI889744B (zh) | 2020-01-29 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 污染物捕集系統、及擋板堆疊 |
| TWI871421B (zh) | 2020-02-03 | 2025-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 包括釩或銦層的裝置、結構及其形成方法、系統 |
| KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
| US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
| TW202146691A (zh) | 2020-02-13 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法 |
| KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
| US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
| TWI895326B (zh) | 2020-02-28 | 2025-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 專用於零件清潔的系統 |
| KR20210113043A (ko) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 정렬 고정구 |
| KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
| KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
| KR102775390B1 (ko) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
| US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
| KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
| TWI887376B (zh) | 2020-04-03 | 2025-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置的製造方法 |
| TWI888525B (zh) | 2020-04-08 | 2025-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
| KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
| US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
| JP7678823B2 (ja) * | 2020-04-16 | 2025-05-16 | ラム リサーチ コーポレーション | ガス冷却を使用するシャワーヘッド熱管理 |
| US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
| TW202143328A (zh) | 2020-04-21 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於調整膜應力之方法 |
| TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
| CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
| KR102866804B1 (ko) | 2020-04-24 | 2025-09-30 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
| KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
| KR20210132612A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 화합물들을 안정화하기 위한 방법들 및 장치 |
| KR102783898B1 (ko) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
| KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
| JP7726664B2 (ja) | 2020-05-04 | 2025-08-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
| JP7736446B2 (ja) | 2020-05-07 | 2025-09-09 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同調回路を備える反応器システム |
| KR102788543B1 (ko) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
| TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
| TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
| KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
| KR102795476B1 (ko) | 2020-05-21 | 2025-04-11 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
| KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
| TW202212650A (zh) | 2020-05-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積含硼及鎵的矽鍺層之方法 |
| TWI876048B (zh) | 2020-05-29 | 2025-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
| CN115943228A (zh) * | 2020-06-10 | 2023-04-07 | 朗姆研究公司 | 分割式喷头冷却板 |
| TW202208659A (zh) | 2020-06-16 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積含硼之矽鍺層的方法 |
| KR20210158809A (ko) | 2020-06-24 | 2021-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘이 구비된 층을 형성하는 방법 |
| TWI873359B (zh) | 2020-06-30 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| TWI896694B (zh) | 2020-07-01 | 2025-09-11 | 荷蘭商Asm Ip私人控股有限公司 | 沉積方法、半導體結構、及沉積系統 |
| KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
| KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
| TWI878570B (zh) | 2020-07-20 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
| US12322591B2 (en) | 2020-07-27 | 2025-06-03 | Asm Ip Holding B.V. | Thin film deposition process |
| KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
| TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
| US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
| TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
| KR20220033997A (ko) | 2020-09-10 | 2022-03-17 | 에이에스엠 아이피 홀딩 비.브이. | 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치 |
| USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
| KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
| JP2023541888A (ja) * | 2020-09-17 | 2023-10-04 | ラム リサーチ コーポレーション | 高温プロセス用の別々のフェースプレートを有するハイブリッドシャワーヘッド |
| US12451331B2 (en) * | 2020-09-22 | 2025-10-21 | Applied Materials, Inc. | Showerhead assembly with recursive gas channels |
| USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
| US20230383406A1 (en) * | 2020-09-25 | 2023-11-30 | Lam Research Corporation | Axially cooled metal showerheads for high temperature processes |
| TWI889903B (zh) | 2020-09-25 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
| KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
| CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
| TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
| KR102873665B1 (ko) | 2020-10-15 | 2025-10-17 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
| TWI889919B (zh) | 2020-10-21 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於可流動間隙填充之方法及裝置 |
| TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
| TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
| TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
| TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
| TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
| KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
| US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
| TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
| US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
| TW202232639A (zh) | 2020-12-18 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 具有可旋轉台的晶圓處理設備 |
| TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
| TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
| TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
| US11705312B2 (en) * | 2020-12-26 | 2023-07-18 | Applied Materials, Inc. | Vertically adjustable plasma source |
| JP7114763B1 (ja) * | 2021-02-15 | 2022-08-08 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法 |
| CN120565403A (zh) * | 2021-03-17 | 2025-08-29 | 芝浦机械电子装置株式会社 | 加热处理装置及加热处理方法 |
| CN113136568B (zh) * | 2021-04-07 | 2022-10-11 | 拓荆科技股份有限公司 | 一种节能型主动控温喷淋头 |
| USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
| USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
| USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
| USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
| KR20240028468A (ko) * | 2021-07-08 | 2024-03-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 순환 가스 채널들을 갖는 샤워헤드 조립체 |
| USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
| WO2023054531A1 (ja) * | 2021-09-29 | 2023-04-06 | 京セラ株式会社 | シャワープレート |
| USD1099184S1 (en) | 2021-11-29 | 2025-10-21 | Asm Ip Holding B.V. | Weighted lift pin |
| EP4190938B1 (en) * | 2021-12-03 | 2024-03-06 | Semsysco GmbH | Distribution body for distributing a process gas for treating a substrate by means of the process gas |
| USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
| KR102726133B1 (ko) * | 2021-12-16 | 2024-11-05 | 주식회사 테스 | 샤워헤드 어셈블리 |
| US12442079B2 (en) | 2022-03-21 | 2025-10-14 | Applied Materials, Inc. | Dual channel showerhead assembly |
| KR102784248B1 (ko) * | 2022-07-01 | 2025-03-21 | 한화모멘텀 주식회사 | 기판 처리 장치 |
| JP2024134695A (ja) | 2023-03-22 | 2024-10-04 | 東京エレクトロン株式会社 | プラズマ処理装置 |
Citations (3)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US5080927A (en) * | 1989-07-07 | 1992-01-14 | Nippon Telegraph And Telephone Corp. | Tin thin film formation method |
| WO2005045913A1 (ja) * | 2003-11-05 | 2005-05-19 | Tokyo Electron Limited | プラズマ処理装置 |
| WO2005119749A1 (ja) * | 2004-06-04 | 2005-12-15 | Tokyo Electron Limited | ガス処理装置および成膜装置 |
Family Cites Families (29)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US4590042A (en) * | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
| US4793975A (en) * | 1985-05-20 | 1988-12-27 | Tegal Corporation | Plasma Reactor with removable insert |
| DE4011933C2 (de) * | 1990-04-12 | 1996-11-21 | Balzers Hochvakuum | Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür |
| US5647945A (en) * | 1993-08-25 | 1997-07-15 | Tokyo Electron Limited | Vacuum processing apparatus |
| US5935337A (en) * | 1995-04-20 | 1999-08-10 | Ebara Corporation | Thin-film vapor deposition apparatus |
| KR100492258B1 (ko) * | 1996-10-11 | 2005-09-02 | 가부시키가이샤 에바라 세이사꾸쇼 | 반응가스분출헤드 |
| US6537418B1 (en) * | 1997-09-19 | 2003-03-25 | Siemens Aktiengesellschaft | Spatially uniform gas supply and pump configuration for large wafer diameters |
| US6190732B1 (en) * | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
| TW464919B (en) * | 1999-03-30 | 2001-11-21 | Tokyo Electron Ltd | Plasma processing apparatus, maintenance method thereof and setting method thereof |
| EP1193751B1 (en) * | 1999-04-06 | 2006-05-17 | Tokyo Electron Limited | Electrode and method of manufacturing an electrode |
| KR100302609B1 (ko) * | 1999-05-10 | 2001-09-13 | 김영환 | 온도가변 가스 분사 장치 |
| US6759325B2 (en) * | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
| KR100444149B1 (ko) * | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
| WO2002008487A1 (en) * | 2000-07-24 | 2002-01-31 | The University Of Maryland, College Park | Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation |
| TWI334888B (zh) * | 2000-09-08 | 2010-12-21 | Tokyo Electron Ltd | |
| JP4260404B2 (ja) * | 2001-02-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜装置 |
| KR100676979B1 (ko) | 2001-02-09 | 2007-02-01 | 동경 엘렉트론 주식회사 | 성막 장치 |
| JP4121269B2 (ja) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
| JP3869778B2 (ja) * | 2002-09-11 | 2007-01-17 | エア・ウォーター株式会社 | 成膜装置 |
| JP2005019606A (ja) * | 2003-06-25 | 2005-01-20 | Anelva Corp | プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置 |
| EP1667217A1 (en) | 2003-09-03 | 2006-06-07 | Tokyo Electron Limited | Gas treatment device and heat readiting method |
| US7408225B2 (en) * | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
| US7273526B2 (en) * | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
| US7712434B2 (en) * | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
| KR100587686B1 (ko) | 2004-07-15 | 2006-06-08 | 삼성전자주식회사 | 질화 티타늄막 형성방법 및 이를 이용한 커패시터 제조방법 |
| KR100628888B1 (ko) * | 2004-12-27 | 2006-09-26 | 삼성전자주식회사 | 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치 |
| US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
| US7718030B2 (en) * | 2005-09-23 | 2010-05-18 | Tokyo Electron Limited | Method and system for controlling radical distribution |
| JP5044931B2 (ja) * | 2005-10-31 | 2012-10-10 | 東京エレクトロン株式会社 | ガス供給装置及び基板処理装置 |
-
2006
- 2006-06-20 JP JP2006170585A patent/JP5045000B2/ja active Active
-
2007
- 2007-06-19 KR KR1020087030964A patent/KR101089977B1/ko active Active
- 2007-06-19 CN CN2007800020357A patent/CN101365823B/zh active Active
- 2007-06-19 WO PCT/JP2007/062328 patent/WO2007148692A1/ja not_active Ceased
- 2007-06-20 TW TW096122094A patent/TWI427679B/zh active
-
2008
- 2008-12-19 US US12/340,058 patent/US8133323B2/en active Active
Patent Citations (3)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US5080927A (en) * | 1989-07-07 | 1992-01-14 | Nippon Telegraph And Telephone Corp. | Tin thin film formation method |
| WO2005045913A1 (ja) * | 2003-11-05 | 2005-05-19 | Tokyo Electron Limited | プラズマ処理装置 |
| WO2005119749A1 (ja) * | 2004-06-04 | 2005-12-15 | Tokyo Electron Limited | ガス処理装置および成膜装置 |
Also Published As
| Publication number | Publication date |
|---|---|
| CN101365823B (zh) | 2012-07-18 |
| US20090104351A1 (en) | 2009-04-23 |
| JP2008001923A (ja) | 2008-01-10 |
| KR101089977B1 (ko) | 2011-12-05 |
| US8133323B2 (en) | 2012-03-13 |
| CN101365823A (zh) | 2009-02-11 |
| WO2007148692A1 (ja) | 2007-12-27 |
| TW200814149A (en) | 2008-03-16 |
| JP5045000B2 (ja) | 2012-10-10 |
| KR20090017622A (ko) | 2009-02-18 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| TWI427679B (zh) | Film forming apparatus and film forming method | |
| JP4889683B2 (ja) | 成膜装置 | |
| JP4536662B2 (ja) | ガス処理装置および放熱方法 | |
| KR20160028360A (ko) | 샤워헤드 능동 가열 및/또는 페데스탈 냉각에 의한 저온 ald 시스템들에서의 안정된 증착 레이트 제어를 위한 방법들 및 장치들 | |
| JP4260404B2 (ja) | 成膜装置 | |
| KR20050035300A (ko) | 뚜껑을 가진 열처리 장소 | |
| KR20110070826A (ko) | 고온 작동을 위한 온도조절식 샤워헤드 | |
| TW200823617A (en) | Substrate processing apparatus, program, recording medium and conditioning necessity determining method | |
| US20070148606A1 (en) | Vertical heat treatment device and method controlling the same | |
| TW202018112A (zh) | 具備擁有經冷卻面板之噴淋頭的基板處理腔室 | |
| WO2004076715A1 (ja) | 真空処理装置 | |
| TWI860324B (zh) | 可控制溫度的處理腔室,電子裝置處理系統,及製造方法 | |
| JP5356522B2 (ja) | 化学処理及び熱処理用高スループット処理システム及びその動作方法 | |
| US6508062B2 (en) | Thermal exchanger for a wafer chuck | |
| US20090212014A1 (en) | Method and system for performing multiple treatments in a dual-chamber batch processing system | |
| JP4782761B2 (ja) | 成膜装置 | |
| JP3847473B2 (ja) | 基板熱処理装置および基板熱処理方法 | |
| KR100799703B1 (ko) | 막 형성 방법 및 반응 부산물의 제거 방법 | |
| JP2022141001A (ja) | 温調ユニット及び処理装置 | |
| JP4686887B2 (ja) | 成膜方法 | |
| US20080078743A1 (en) | Elevated temperature chemical oxide removal module and process | |
| JP2002170774A (ja) | 基板処理装置 |