[go: up one dir, main page]

TWI283024B - Method for operating a system for chemical oxide removal - Google Patents

Method for operating a system for chemical oxide removal Download PDF

Info

Publication number
TWI283024B
TWI283024B TW93138910A TW93138910A TWI283024B TW I283024 B TWI283024 B TW I283024B TW 93138910 A TW93138910 A TW 93138910A TW 93138910 A TW93138910 A TW 93138910A TW I283024 B TWI283024 B TW I283024B
Authority
TW
Taiwan
Prior art keywords
substrate
processing
control
chemical
recipe
Prior art date
Application number
TW93138910A
Other languages
Chinese (zh)
Other versions
TW200532795A (en
Inventor
Masayuki Tomoyasu
Merritt Funk
Kevin A Pinto
Masaya Odagiri
Lemuel Chen
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/736,983 external-priority patent/US7877161B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200532795A publication Critical patent/TW200532795A/en
Application granted granted Critical
Publication of TWI283024B publication Critical patent/TWI283024B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Electrochemistry (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A processing system and method for chemical oxide removal (COR) is presented, wherein the processing system comprises a first treatment chamber and a second treatment chamber, wherein the first and second treatment chambers are coupled to one another. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber, and an independently temperature controlled substrate holder for supporting a substrate for chemical treatment. The substrate is exposed to a gaseous chemistry, such as HF/NH3, under controlled conditions including surface temperature and gas pressure. The second treatment chamber comprises a heat treatment chamber that provides a temperature controlled chamber, thermally insulated from the chemical treatment chamber. The heat treatment chamber provides a substrate holder for controlling the temperature of the substrate to thermally process the chemically treated surfaces on the substrate.

Description

1283024 九、發明說明: 【相關申請案之交互參照資料】 本申請案係關於同在專利審理中的美國專利申請案序號 60/454,597,名稱為”處理基板之加工系統與方法(Pr〇cessing System and Method For Treating a Substrate)”,代理人案件編號 071469/0301073,申請日為2003年3月17日;同在專利審理中的 、 美國專利申請案序號60/454,642,名稱為,,化學處理基板之加工系 . 統與方法(Processing System and Method For Chemically Treating a , Substrate)”,代理人案件編號071469/0301087,申請日為2003年3 月17日,同在專利審理中的美國專利申請案序號6〇/454,64ι,名 稱為熱處理基板之加工系統與方法(processing System and Meth()d ForThermallyTreating a Substrate)”,代理人案件編號 071469/0301088,申請為2003年3月17日;以及同在專利審理中 的美國專利申請案序號6〇/454,644,名稱為,,使鄰近溫度控制室絕 熱之方法與設備(Method and Apparatus For Thermally Insulating Adjacent Temperature Controlled Chambers)’’,代理人案件編號 071469/0292055,申請日為2003年3月17日。所有的那些申°請案 之整體内容的全部係於此列入參考資料。 一、 【發明所屬之技術領域】 本發明係關於一種處理基板之系統與方法,尤有關一種基板 之化學與熱處理之系統與方法。 二、 【先前技術】 ^在半導體加工期間,可利用一(乾燥)電漿蝕刻製程以沿著細線 ” 或在圖案化於一矽基板上之通道(Via)或接觸部(Contact)之内來移 • 除,蝕刻材料。電漿蝕刻製程一般涉及將具有一上覆蓋之圖案化 保護層(譬如光阻層)之一個半導體基板配置於一加工容室中。一旦 將基板安置在容室内,就可以一預先指定的流動速率將一種可離 1283024 子化之分離的氣體混合物導人容㈣,同時使—真空泵節流以達 到「環境製程壓力。織,當存在的氣體翻之—百分率係藉由 透過射頻(RF)功率之傳送而被感應式或電容式加熱之電子或使用 例如電子回旋共振(ECR)之微波功率之傳送而加熱之電子而離子 化時’可形成-電漿。此外,加熱的電子用以分解多種環境氣體 種類之某些種類並建立適合露出表祕刻化學之反應物種類。一 ,形成電聚’就可齡電絲姻基板之麟表面。此製程係被 调整以達到包含期望的反應物與離子群組之適當濃度之適當條 件,用以在基板之選擇區域中蝕刻各種不同的特徵部(例如渠溝、 通道、接觸部、閘等)。這種需要侧之基板材料包含二氧化矽 (Si02)、低k介電材料、多晶石夕與氮化石夕。 在材料加工期間,蝕刻這種特徵部一般包含將形成於一光罩 層内之-圖案傳送至内部形成有各種特徵部之下層薄膜。此光罩 可包含例如(負或正)光阻之一光敏材料、包含像光阻與抗反 (ARC)那樣的層之多重層、或由將第一層(例如光阻)中之一圖I 送至下層硬性光罩層所形成的一硬性光罩。 三、【發明内容】 本發明係關於-魏理基板之系統與方法,以及關於 學與熱處理基板之系統與方法。 在本發^月之-個實施樣態中,係說明一種加工系統用 包含一第—處理系統與—第二處理系統之—基板上之材料移^仃 其中,-與第二處理系統係彼此連接。第—處理系統包含_化段 處理系統’其巾化學處理系統包含—化學處理容室、—基 部、連接至化學處理容室之—真空抽氣系統、以及用以將^ 氣體導入化學處理容室之一氣體分配系統。第二處理系統 j 熱處理系統’其帽處理系統包含—溫控熱處理容室、安製 處理容室内之-基板支樓部、以及連接至熱處理容室之一真^ 氣系統。任一個容室之選擇元件可能受到溫度控制。 1283024 含· 處理基板之加工系統之操作方法。此方法包 ,理系統之一個或多個化學加工參數:二 ΐ 含一化學處理加工壓力、-化學處理容室溫 ί處ίϊί f撐度、以及—化學處理氣體流動速率之至少-、’使用-個或多個化學加工參數來加卫化學處理 系統之-個或多個熱加工參數之至少二項:呈;敎 if數Ϊ含—熱處處理加工壓力、—熱處理容室溫度二孰ii 板娜崎、+馳氣體ΐί速 統中之^ 及制—個或多倾μ參絲加工熱處理系 處理祕;執行設定 i監視周整熱處理 四、【實施方式】 層(例包含將先敏材料之一薄 之化刻期’這個圖案轉移至下層材ί。光敏ίί、 圖1顯示依據本發明之-實施例之—加工處理系統之例示方 1283024 ^圖。在所顯示的實施例中,處理加工系統勘&amp;含:一製造設 =(MES)ll〇 ’ -工具層(TL)控制器12〇 ’其連接至11〇 :&amp; 口工工具130 ;以及一批次供1111·70·11111^11211))控制器190,其 tt JMES 11〇、TL控制器120與加工工具130。此外,MES 110、 ju2〇、加工工具13〇與幻尺控制器19〇之至少一個可包 = 牛及/或一資料庫元件(未顯示)。在另外的實施例中, 並不品要GUI元件及/或資料庫元件。 哭1〇,些Γ定及/或組態資訊可藉由TL控制11 120及/或幻尺控制 二㈣s工i系統110獲得。工廠層商業規則可被使用以建立 猶_人I、舉例而言,TL控制11120及/或跳控制器190可</ RTI> </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; And Method For Treating a Substrate), attorney Case No. 071469/0301073, filed on March 17, 2003; U.S. Patent Application Serial No. 60/454,642, entitled, Chemical Processing Substrate Processing System and Method For Chemically Treating a (Substrate), agent case number 071469/0301087, application date is March 17, 2003, the same as the US patent application serial number in the patent trial 6〇/454,64ι, entitled Processing System and Meth()d ForThermallyTreating a Substrate), Agent Case No. 071469/0301088, Application March 17, 2003; US Patent Application Serial No. 6〇/454,644, entitled "Methods and Designs for Insulation of Adjacent Temperature Control Chambers" (Method and Apparatus For Thermally Insulating Adjacent Temperature Controlled Chambers) '', Attorney Docket No. 071469/0292055, filed March 17, 2003. All of the contents of all of these claims are included in the reference. 1. Field of the Invention The present invention relates to a system and method for processing a substrate, and more particularly to a system and method for chemical and heat treatment of a substrate. 2. [Prior Art] ^ During semiconductor processing, a (dry) plasma etching process can be used to follow the thin lines or within the vias (Contacts) or contacts that are patterned on a substrate. The plasma etching process generally involves disposing a semiconductor substrate having an overlying patterned protective layer (such as a photoresist layer) in a processing chamber. Once the substrate is placed in the chamber, A separate gas mixture that can be separated from 1283024 can be introduced at a pre-specified flow rate (IV), while the vacuum pump is throttled to achieve "environmental process pressure. Weaving, when the gas is turned over - the percentage is An electron that is inductively or capacitively heated by the transmission of radio frequency (RF) power or an electron that is heated by the transmission of microwave power such as electron cyclotron resonance (ECR) can be formed into a plasma. Further, heating The electrons are used to decompose certain types of various environmental gas species and establish the types of reactants suitable for revealing the chemistry of the surface. First, the formation of electropolymerization The process is adjusted to achieve the appropriate conditions for the desired concentration of reactants and ion groups to etch various features (eg, trenches, channels, contacts, gates) in selected regions of the substrate. Etc.) The substrate material of the desired side comprises cerium oxide (SiO 2 ), a low-k dielectric material, a polycrystalline stone and a nitrite eve. During the processing of the material, etching such features generally comprises forming a light The pattern in the cover layer is transferred to the underlying film formed with various features. The mask may comprise, for example, a (negative or positive) photoresist, a photosensitive material comprising a layer such as photoresist and anti-reverse (ARC). Multiple layers, or a hard mask formed by sending one of the first layer (for example, photoresist) to the lower hard mask layer. 3. SUMMARY OF THE INVENTION The present invention relates to a system for a Weili substrate And methods, and systems and methods for learning and heat treating substrates. In the present invention, a processing system is described on a substrate including a first processing system and a second processing system. Material shift The second processing system is connected to each other. The first processing system includes a _ ing processing system, the medicinal processing system includes a chemical processing chamber, a base, and a vacuum pumping system connected to the chemical processing chamber. And a gas distribution system for introducing the gas into the chemical processing chamber. The second processing system j heat treatment system's cap processing system includes a temperature-controlled heat treatment chamber, a substrate for the processing chamber, and a substrate branch portion. And a gas system connected to one of the heat treatment chambers. The selected components of any of the chambers may be temperature controlled. 1283024 Operation method for a processing system containing a substrate. This method package, one or more chemical processing of the system Parameters: 二ΐ Contains a chemical treatment process pressure, - chemical treatment capacity at room temperature ίϊί f support, and - at least the chemical treatment gas flow rate -, 'use one or more chemical processing parameters to enhance chemical treatment At least two items of one or more thermal processing parameters of the system: present; 敎if number Ϊ containing - heat treatment pressure, heat treatment chamber temperature 孰 ii plate Nasaki + Chi gas ΐ 速 speed system ^ and system - one or more tilting 参 丝 silk processing heat treatment system processing secret; implementation of the setting i monitoring week heat treatment four, [implementation] layer (including the inclusion of one of the sensitization materials thin The engraving period 'this pattern is transferred to the lower layer ί. Photosensitive ίί, Figure 1 shows an exemplary embodiment of a processing system in accordance with an embodiment of the present invention 1283024. In the illustrated embodiment, the processing system includes: a manufacturing device = (MES) ll 〇 - tool layer (TL) controller 12 〇 'which is connected to 11 〇: &amp; And a batch of 1111·70·11111^11211) controller 190, tt JMES 11〇, TL controller 120 and processing tool 130. In addition, at least one of the MES 110, the ju2, the processing tool 13 and the magic controller 19 may include a cow and/or a library component (not shown). In other embodiments, GUI elements and/or library elements are not desired. After crying, some of the settings and/or configuration information can be obtained by the TL control 11 120 and/or the magic scale control two (four) s i system 110. Factory layer business rules can be used to establish a IE control, for example, TL control 11120 and/or hop controller 190

Si=1可ί,系統i1Q控制至某種程度。又,可使用工 或:二制、5、定何時暫停及/或中止一製程,以及當暫停及/ 程該做些什麼。此外’可使用絲層商業規則以決 疋何%改變一製程以及如何改變此製程。 測資粗Wit 動作可包含:初始模型載入、前蝕刻量 古十瞀二3 广配方選擇、後银刻量測資料過濾、回饋_) 寸异、以及R2R模型更新。 ) 層。可於—控制策略層、控制計畫層或控制模型 關的商晋招3厂、#低層化遇一匹配情況時,可執行與較高層相 商举^^形使用者介面(GUI)螢幕可被使用來界定並維持 咖梅偷㈣蝴級的使用 定轉ίί章維持於資料庫中。針對如何定義、指 …准持商業規射提供職管理與求助螢幕。 相關Ιίϋϋΐ Ϊ使用從與孔控制器120及/或腿控制器190 而言,TL和制氣^ &quot;被^視以及何種資料會被使用。舉例 玉-〇及/或11211控制器190可獨立收集資料,或 1283024 式、運作時間組態資訊給丁L控制器120及/ I可W工=f 。牛例而言,設定、目標、極限、規則與演算 領制器120及/或職控制器190以作為,, ^ =财APC編則”、臟運作時間 定,’或5態資訊可以由TL控制11120及/或腿控制 它們&quot;r紐由此系、_配斜所決定。可使H统層商 業規則(糸統規則)以建立-控制層次。舉例而言,TL控制哭⑽ =驗控制器190可獨立運作,或TL控制器12G可被^控 控制至某種程度。又,可使用系統規則以決定何時暫停 或/中止-製程’以及當暫停及/或中止一製程時該做些什麼。此 夕’系統細彳可被使肋決定何時改變―製程以及如何改變 ϊ作再者,—tl控制11 i2G可使用工具層規則以控制某些工具^ 來改ί般而言,觸允許魏及/紅具運作基㈣、統之動態狀態 、於圖1中,係顯示一個R2R控制器190、一個加工工具13〇 以及一個TL控制器120,但這並非是本發明所必須需要的。除 獨立製程工具與模組以外,半導體加工系統可包含許多加工次系 統,而加工次系統具有許多與它們相關的R2R控制器。 、除了許多獨立製程工具與模組以外,可使用tl控制器12〇 ,配置許多加工工具,而加卫工具具有許多與它們相關的加工次 糸,。TL控制器120可收集、提供、處理、儲存以及顯示來自包 括处理加工工具、加工次系統、製程模組與感測器之製程的資料。 田器120可包含一些應用,其包含至少一工具相關的應 用、至夕一杈組相關的應用、至少一感測器相關的應用、至少一 1283024 介面相關的應用、至少一資料庫相關的應用、呈少一GUI相關的 應用、以及與至少一組態應用。 舉例而言,TL控制器120與R2R控制器19〇可支援來自T〇kv〇 APC , 工具及/或一 Trias工具以及與它們相關的加工次系統與製程模 組。或者,TL控制器120可支援其他製程工具與其他製程模組。 _ GUI疋件可提供簡單的使用介面,其可令使用者作以下動 、·檢視工具狀態與製程模組狀態;建立並編輯摘要之x-y圖表與 的晶圓之原始(追縱)參數資料;檢視卫具警報器記錄;配置 $疋用以將資料寫入至資料庫或輸出標案之狀況之資料收集計 ::案輸入至統計製程控制(SPC)製目、模型試驗與試算表程 定晶圓之晶圓加工資訊,以及複查目前正被儲存至資 ,庫之資料;建立並編輯製程參數之SPC圖表,μ定產子 =ϊΐίSPC警報11;執行錄數域分分析(PCA)及/或部分 =====職痛幕秦修輯並報導關 栲宏來ίί具if ΐ資料與5蹤資料可被儲存成資料庫122甲之 ϋ μ旦正5置測_資料與主量測資料可被儲存於資料庫 工於配置之資料收集計晝以及執行製程與運作加 =圖1所示之所說明的實施例中,係顯示一種單 ί工作=2^^=所^^_支持複數個客戶 行組態程序,·檢視包含工具、m :二;二冗 料輸入至咖===== 跳控制請包含用以連接至與丁在之二 =執行 1283024 之-製程=關的至少一其他R2R控制器之鏈結192,以及用以連 接^與在這個製程之後被執行之一製程相關的至少一其他R2R控 制器之鏈結194。可使用鏈結192與鏈結194以前饋及/或回饋資 訊0 、 R2R控制器190係連接至MES 110且可以是一電子診斷系統 之-部分。R2R控制器19〇可與一工廠系統交換資訊。此外,mes 110可傳遞命令及/或不接受至R2R控制器19〇之資訊。舉例而言, MES 110可以以供每個配方用之可變參數,將可下載的供許多製 程模組三工具與量測裝置用之配方前饋至R2R控制器。可變參數 可,含最終的臨界尺寸(CD)目標、極限、補償,以及依照批必 須是可调整的工具層系統中之變數。又,工廠微影CD量 響 可被前饋至R2R控制器19〇。 、 再者,可使用MES 110以提供例如CD掃描式電子顯微鏡 f EM)資訊之量測資料給R2R控制器。或者,可手動提供cd §εμ ,訊。調整係數係用以為IM與CD SEM量測之間的任何補償作 調整。CD SEM資料之手動與自動化輸入包含例如日期之一時間 戳記以供適當插入至R2R控制器中之回饋(FB)控制迴路之歷史記 1彔 ° 土口 可配置項目可藉由使用通用設備模型/SEMI設備通訊標準 (GEM SECS)通訊協定而被配置成為一組從工廠系統送出之可變 # 參數。舉例而言,可變參數可被看做是一”Apc配方&quot;之一部分。 - APC配方可包含不只-個子配方且每個子配方可包含複數個 變參數。 R2R控制器190係連接至加工工具13〇與TL控制器12〇。 訊可包含回饋與前饋資料。舉例而言,當一内部重置事件正工 具產生,,R2R控制1 190可傳送一訊息(例如一警報訊號)至MEs 110。這將允§午工廠系統做出必需要的改變,以使在一 生之後在危險離態下的關之數目得以最小化,例如I些= 正或預防維修期間產生的改變。 n 12 1283024 f所顯不的實施例中,係顯示〆加工工具13(),其包含一第一 整f里測模組(IMM)132、—加工次系、统15〇以及第二工函134, 但追,非本發明所f要的。或者,可使用其他配置組態。 單-的R2R控制H 19〇亦顯示於圖丄巾,但這並非本發明所 ,要的。或者,了使用額外的咖控制器。舉例而言,跳控制 =190可包含一月纟饋㈣控制器、製程模型控制器、反饋(fb)控制 姦、以及製,控制器(全部未顯示於圖丨中)之至少一者。 在所顯示的實施例中,一開始事件1〇2提 一Si=1 is ί, and system i1Q is controlled to some extent. Also, you can use the work or: two, five, when to suspend and / or suspend a process, and what to do when the pause and / process. In addition, the business rules of the silk layer can be used to determine how to change a process and how to change the process. The measurement of the crude Wit action can include: initial model loading, pre-etching volume, ancient 瞀2 3 wide formula selection, post-silver measurement data filtering, feedback _) inversion, and R2R model update. ) Floor. The user interface (GUI) screen can be negotiated with the higher layer when the control strategy layer, the control plan layer or the control model is closed. Used to define and maintain the use of the gamma thief (4) 级 定 维持 维持 维持 维持 维持 维持 维持 维持 维持 维持 维持 维持 维持 维持 维持 维持Provide job management and help screens on how to define and refer to commercial planning. Ι ϋϋΐ ϋϋΐ Ϊ 从 与 与 孔 孔 孔 孔 孔 孔 孔 孔 孔 孔 孔 孔 孔 孔 孔 孔 TL TL TL TL TL TL TL TL TL TL TL TL TL TL TL TL For example, the jade-and/or 11211 controller 190 can collect data independently, or 1283024 type, operating time configuration information to the D controller 120 and / I can be = f. In the case of a cow, the settings, goals, limits, rules and calculations of the controller 120 and/or the job controller 190 are used as, ^, financial APC code, "dirty operation time," or 5 state information can be used by TL Control 11120 and / or leg control them &quot; r button is determined by this system, _ matching oblique. Can make H system business rules (system rules) to establish - control level. For example, TL control cry (10) = test The controller 190 can operate independently, or the TL controller 12G can be controlled to some extent. Further, system rules can be used to decide when to suspend or/suspend-process&apos; and when to suspend and/or suspend a process What? The eve of the system can be used to make the ribs decide when to change - the process and how to change the game, tl control 11 i2G can use the tool layer rules to control certain tools ^ to change Allowing the Wei and//Red Operating Base (4), the dynamic state of the system, in Figure 1, shows an R2R controller 190, a processing tool 13A, and a TL controller 120, but this is not required for the present invention. In addition to independent process tools and modules, semiconductor processing The system can include many processing subsystems, and the processing subsystem has many R2R controllers associated with them. In addition to many independent process tools and modules, the tl controller can be used to configure many processing tools. There are a number of processing steps associated with them. The TL controller 120 can collect, provide, process, store, and display data from processes including processing tools, processing subsystems, process modules, and sensors. Some applications may be included, including at least one tool-related application, a group-related application, at least one sensor-related application, at least one 1283024 interface-related application, at least one database-related application, and less A GUI related application, and with at least one configuration application. For example, the TL controller 120 and the R2R controller 19 can support processing from T〇kv〇APC, tools and/or a Trias tool and their associated processing. Secondary system and process module. Or, TL controller 120 can support other process tools and other process modules. _ GUI components can provide simple use Surface, which allows the user to make the following actions, view tool status and process module status; create and edit summary xy charts and wafer raw (tracking) parameter data; view guards alarm record; configuration $资料 Data collection for writing data to the database or outputting the status of the standard: Input to statistical process control (SPC) production, model testing and trial calculation of wafer processing information, and review current Information that is being stored to the capital, library; SPC chart for establishing and editing process parameters, μ fixed child = ϊΐίSPC alarm 11; performing record field analysis (PCA) and / or part ===== job pain screen Qin Xiu Edit and report on Guan Honghong ίί with if ΐ data and 5 trace data can be stored into the database 122 A ϋ 旦 正 positive 5 test _ data and main measurement data can be stored in the database configuration data Collecting the program and executing the process and operation plus the embodiment shown in Figure 1 shows that a single work = 2 ^ ^ = ^ ^ _ supports a plurality of customer line configuration procedures, · view includes tools , m : two; two redundant materials input to the coffee ===== jump control please include A link 192 coupled to at least one other R2R controller that performs a process of = 1283024 - process = off, and at least one other R2R controller associated with one of the processes executed after the process Chain 194. The link 192 and the link 194 can be used to feed back and/or feedback information. The R2R controller 190 is coupled to the MES 110 and can be part of an electronic diagnostic system. The R2R controller 19 can exchange information with a factory system. In addition, the mes 110 may pass commands and/or do not accept information to the R2R controller 19. For example, the MES 110 can feed the downloadable recipes for a number of process modules, three tools, and measurement devices to the R2R controller with variable parameters for each recipe. Variable parameters can include final critical dimension (CD) targets, limits, compensation, and variables in the tool layer system that must be adjustable according to the batch. In addition, the factory lithography CD volume can be fed forward to the R2R controller 19〇. Further, the MES 110 can be used to provide measurement information such as CD scanning electron microscope f EM) information to the R2R controller. Alternatively, you can manually provide cd §εμ, message. The adjustment factor is used to adjust for any compensation between IM and CD SEM measurements. Manual and automated input of CD SEM data contains a time stamp such as one of the dates for proper insertion into the R2R controller. The history of the feedback loop (FB) control loop can be configured by using the generic device model / The SEMI Device Communication Standard (GEM SECS) protocol is configured as a set of variable # parameters sent from the factory system. For example, the variable parameter can be viewed as part of an "Apc recipe." - The APC recipe can contain more than one sub-recipe and each sub-recipe can contain a plurality of variable parameters. The R2R controller 190 is connected to the processing tool. 13 〇 and TL controller 12 〇 may include feedback and feed forward data. For example, when an internal reset event positive tool is generated, R2R control 1 190 may transmit a message (eg, an alarm signal) to MEs 110 This will allow the noon factory system to make the necessary changes to minimize the number of critical off-states after a lifetime, such as some = positive or prevent changes during maintenance. n 12 1283024 f In the embodiment shown, the processing tool 13() is shown, which includes a first integral measurement module (IMM) 132, a processing subsystem, a system 15 and a second job 134, but It is not required by the present invention. Alternatively, other configuration configurations may be used. The single-R2R control H 19〇 is also shown in the figure, but this is not the present invention, or an additional coffee is used. Controller. For example, skip control = 190 can include January feed (4) At least one of a controller, a process model controller, a feedback (fb) control, and a controller (all not shown in the figure). In the illustrated embodiment, the first event is 1〇2 One

==32 °舉例而言’ i始事件可以是來自-主機之包含ί資 ㈣息之二訊息。第-ΙΜΜ132係連接至-R2R控制 ί! 1 ^ 一f7工次系統150。加工次系統150係連接至控制 1 〇 /、-第二IMM 134。第二IMM 134係連接至跳控制器 加工次系、统150可包含一第一緩衝器模組152、一化學氧化勒 f,(COR)模組154、一後熱處理(pHT)容室156以及一第二緩衝 ,、她158。C〇R模組執行c〇R製程之第一步驟。第一步驟可。 =例=HF和氨氣之處理氣體之一混合物與二氧化石夕之間的一反 應^、在晶圓表面上形成一固體反應生成物。位於c〇R模組旁讀==32 ° For example, the 'i start event can be the message from the host that contains the information. The first - 132 series is connected to the -R2R control ί! 1 ^ an f7 work system 150. The processing subsystem 150 is coupled to the control 1 〇 /, - second IMM 134. The second IMM 134 is coupled to the jump controller processing subsystem, and the system 150 can include a first buffer module 152, a chemical oxidation unit f, a (COR) module 154, a post heat treatment (pHT) chamber 156, and A second buffer, she 158. The C〇R module performs the first step of the c〇R process. The first step is OK. = Example = a reaction between a mixture of one of the treatment gases of HF and ammonia and the day of the dioxide, forming a solid reaction product on the surface of the wafer. Located next to the c〇R module

Ϊ/η^喊行CQR製程之第三步驟。這個步驟藉由加熱晶圓 導致固體反應生成物之蒸鍍。 U m力1工人系統丨5〇可包含一製程運送咖况娜ship),其可包含一 用:t :; PHT模組與一緩衝器仰模組。加工系統可藉由傾 :供〇)R核組、ΡΚΓ模組、緩衝器(LL)模組用之各別的加工配 而受到控制。 而+ 5,一緩衝器模組可包含一輸送系統,用以在COR指 、丁杈組、緩衝器(LL)模組與另一個模組(例如另一個輸送筹 iifTf傳送—晶®。這錄雜組可被包括在⑽作為額夕丨 的杈組型式以供TL控制器控制用。 加工次系統可使用一 C〇R配方以開始此加工,而一 C〇R^ 13 1283024 方可在一基板被傳送至COR模組時開始。舉例.而言,基板可藉由 儲藏在一基板支撐部内之升降銷(liftpins)而被接收,且基板可^ :至基板支撐部。然後,基板可藉由使用例如一靜電夾持系统之 二夹持系統而被固定至基板支撐部,且一熱傳輸氣體可被^供至 基板之背面。 ’、 接著,可使用COR配方以設定一個或多個化學加工參數以供 土板之化學處理用,而這些參數可包含一化學處理加工壓力、二 ,學處理壁面溫度、—化學處理基板支撐部溫度、—化學處理基 ,溫度、一化學處理氣體分配系統溫度、一化學處理加工化 二處^氣體與流體)之至少-項。然後,基板可受化學方式處 、、、貝一第二段時間。舉例而言,第一段時間之範圍可從3〇至36〇秒。 接著,基板可從化學處理容室被傳送至pHT模組。在該時間 ,間’ ^移除基板夾,並可終止鋪減體流基板之背面。 =板可藉由使用儲藏在基板支撐部内之升降銷組件而從基板支撐 而皮垂直升高至傳送平面。輸勒統可接收來自升_之基板, 配置在PHT模組内。於其中,基板升降桿組件可接收 來自輸送系統之基板,並可使基板下降至基板支撐部。 然後’可使用PHT配方以設定供由PHT模組對於基板執行熱 用的-個或多個熱加卫參數,且基板可被熱處理持續一第、 間。舉例而言,—個或多個熱加轉數可包含—熱處理壁 熱處理上部組件溫度、―熱處理基板溫度、一熱處理 2 5 #&quot;卩/jnL度、一熱處理基板溫度、一熱處理加工壓力以及一 熱處理加卫化學(包含處理氣體與流體)之至少—項。舉例而言,第 一段時間之範圍可從3〇至360秒。 在/一例示製程中,加工次系統15〇可以是一化學氧化物移除 (〇=糸統,用以修整-氧化物硬性光罩。在另一個例示製程中, :1^5用厂C0R气程來修整一氧化之可調抗飯抗反射塗層 柿一甘溥膜。加工次系統150包含一 C0R模組154,用以化學處 -土板上之例如氧化物表面層之露出表面層,藉贿出表面上 14 1283024 &amp;製3學之吸附影·面層之—化㈣化。此外,加工次系統 便釋—ΡΗΤ权組156用以熱處理基板’藉以提升基板溫度以 更釋=(或洛鍍)基板上之化學方式改變的露出表面層。 且加模組可使用包含™與丽3之—處理氣體, ^口工堡力之範圍可從大約i至大約刚尬⑽,譬如,可從大約 ^大約25 mTorr。每個種類之處理氣體流動速率之範圍可從大約 至大約200 sccm與譬如可從大約1〇至大約1〇〇 sccm。此外,可 你至Wr均句(二。維)壓力場。此外,C〇R模組容室可被加熱至範圍 f Γ 應C之一溫度,譬如,溫度可以是大約4叱。此外,Ϊ / η ^ shouted the third step of the CQR process. This step causes evaporation of the solid reaction product by heating the wafer. The U m force 1 worker system 丨 5 〇 can include a process delivery service, which can include: t :; PHT module and a buffer squat module. The processing system can be controlled by the respective processing of the R core group, the ΡΚΓ module, and the buffer (LL) module. And + 5, a buffer module can include a transport system for the COR finger, the Ding group, the buffer (LL) module and another module (for example, another transport iifTf transfer - Crystal®. The recording group can be included in (10) as the 额 group type for the TL controller. The processing subsystem can use a C〇R formula to start the processing, and a C〇R^ 13 1283024 can be used. When a substrate is transferred to the COR module, for example, the substrate can be received by lifting pins stored in a substrate support portion, and the substrate can be: to the substrate support portion. Then, the substrate can be It is fixed to the substrate support by using a clamping system such as an electrostatic clamping system, and a heat transfer gas can be supplied to the back side of the substrate. ', Next, the COR recipe can be used to set one or more The chemical processing parameters are used for the chemical treatment of the soil plate, and these parameters may include a chemical processing pressure, second, the temperature of the treated wall, the temperature of the chemical substrate support, the chemical treatment base, the temperature, and the chemical processing gas distribution. System temperature A chemical treatment process of the two gas fluid ^) of at least - item. Then, the substrate can be chemically treated, and, for a second period of time. For example, the first period of time can range from 3 〇 to 36 〇 seconds. The substrate can then be transferred from the chemical processing chamber to the pHT module. At this time, the substrate holder is removed and the back side of the flow-down substrate can be terminated. The plate can be vertically raised to the transfer plane by being supported from the substrate by using a lift pin assembly stored in the substrate support. The system can receive the substrate from the liter, and is disposed in the PHT module. The substrate lifter assembly can receive the substrate from the transport system and can lower the substrate to the substrate support. The PHT formulation can then be used to set one or more thermal enhancement parameters for the PHT module to perform heat on the substrate, and the substrate can be heat treated for a first time. For example, one or more thermal additions may include - heat treatment wall heat treatment upper component temperature, "heat treatment substrate temperature, a heat treatment 25 5", a heat treatment substrate temperature, a heat treatment processing pressure, and A heat treatment of at least the term of the chemical (including the process gas and the fluid). For example, the first period of time can range from 3 360 to 360 seconds. In an example process, the processing subsystem 15 can be a chemical oxide removal (〇=糸, used to trim the oxide hard mask. In another exemplary process, : 1^5 factory C0R The gas path is used to trim the oxidized anti-rice anti-reflective coating persimmon film. The processing subsystem 150 includes a COR module 154 for the exposed surface layer of the oxide surface layer on the chemical-soil plate. On the surface of the 14 1283024 &amp; 3 system of adsorption shadow · surface layer - chemical (four). In addition, the processing subsystem is released - ΡΗΤ right group 156 used to heat the substrate 'to increase the substrate temperature to explain = (or Luo plating) the chemically altered exposed surface layer on the substrate. And the module can use the processing gas containing TM and Li 3, and the range of the force can be from about i to about 尬 (10), for example It can be from about ^ 25 mTorr. The flow rate of the treatment gas of each kind can range from about to about 200 sccm and, for example, from about 1 to about 1 〇〇 sccm. In addition, you can go to Wr. In addition, the C〇R module chamber can be heated to the range f Γ At a temperature of C, for example, the temperature may be about 4 叱.

軋體分配系統可被加熱至範圍從大約4(rc至大約1〇〇。〇之一溫 $ ’譬如,溫度可以是大約贼。基板可被維持於範圍從大約1〇 至大約50°C之一溫度,譬如,基板温度可以是大約2〇〇c。 此外,於PHT模組中,熱處理容室可被加熱至範圍從大約5〇 C至大約l〇〇°c之一溫度,譬如,溫度可以是大約8〇。〇。此外, 上部組件可被加熱至範圍從大約5〇°c至大約1〇〇〇c之一溫度,嬖 如,溫度可以是大約80°C。基板可被加熱至超過大約1〇〇。〇之一 溫度。或者,基板可在從大約10(rc至大約2〇〇〇C2一範圍内被加 熱,譬如,溫度可以是大約135°C。The rolling body distribution system can be heated to a range from about 4 (rc to about 1 Torr. One of the temperatures can be about thieves. The temperature can be maintained in the range of from about 1 Torr to about 50 ° C. A temperature, for example, the substrate temperature may be about 2 〇〇 C. Further, in the PHT module, the heat treatment chamber may be heated to a temperature ranging from about 5 〇C to about 10 ° C, for example, temperature It may be about 8 Torr. In addition, the upper component may be heated to a temperature ranging from about 5 ° C to about 1 ° C, for example, the temperature may be about 80 ° C. The substrate may be heated to More than about one 〇〇. One of the temperatures. Alternatively, the substrate can be heated from about 10 (rc to about 2 〇〇〇C2), for example, the temperature can be about 135 °C.

於此所說明的COR與PHT製程可產生下述餘刻量··對埶氧 化物之化學處理每60秒超過大約1〇 nm之一露出氣化物# 一蝕刻量;對熱氧化物之化學處理每18〇秒超過大約25 =露 出氧化物表面層之一蝕刻量;以及對TEOS之化學處理每18〇$ 超過大約10 nm之露出氧化物表面層之一蚀刻量。這些處理亦可 產生橫越過小於大約2·5%之基板之一蚀刻變化。 開始事件102可以是事件中之一晶圓,且開始事件可包含與 輸入(in-coming)晶圓相關的資料。這個資料可包含批次資料、批^ 資料、運作資料(rundata)、組成資料以及晶圓歷史資料、。或者里 開始事件可以是一種不同的與製程相關的事件。、 — 第一 IMM 132可提供用以建立一晶圓之一輪入狀態之加工前 15 1283024 ,„料。第-MM m可提供(前饋〉加工前量測資料之一第一 控制器19〇,並可提供加工前制資料之一第二部分 糸統15〇。或者,兩個部分可包含相同資料。第- IMM 132 或多重制裝置。第—IMM 132可包含與模組 I,里測竑置、與工具相關的量測裝置、以及外部量測裝置。 舉例而言,資料可從連接至—個或多個製雜組之感靡與連接 至加工工具之感測器獲得。此外,資料可從例如-SEM工具與-光學數位輪廓檢測(ODP)工具之一外部裝置獲得。一 〇Dp工具係The COR and PHT processes described herein can produce the following amount of remarks: · Chemical treatment of cerium oxide exceeds about 1 〇 nm every 60 seconds to expose vaporization # an etching amount; chemical treatment of thermal oxides Exceeding approximately 25 per 18 sec = an etched amount of one of the exposed oxide surface layers; and an amount of etching of the exposed oxide surface layer per 18 〇 $ over about 10 nm for TEOS. These treatments can also produce an etch change across one of the substrates of less than about 2.5%. The start event 102 can be one of the wafers in the event, and the start event can include data associated with the in-coming wafer. This information can include batch data, batch data, operational data (rundata), composition data, and wafer history data. Or the start event can be a different process-related event. — The first IMM 132 can provide 15 1283024 before processing to establish a wheeled state of one wafer. The first MM can provide (feedforward) one of the pre-process measurement data. And one of the pre-processing materials can be provided. The second part is the 15th. Or the two parts can contain the same information. The -IMM 132 or the multi-unit. The -IMM 132 can be included with the module I, the test The device, the measuring device associated with the tool, and the external measuring device. For example, the data can be obtained from a sensor connected to one or more of the doping groups and a sensor connected to the processing tool. Data can be obtained from external devices such as the -SEM tool and the Optical Digital Profile Detection (ODP) tool.

可從提供-_以量測-種轉體裝置巾之—躲部之輪廊之專 利技術之Timbre科技公司(TEL公司)取得。 、11211控制器携可使用輸入(incoming)材料(輸入狀態)之一量 測尺寸與一目標臨界尺寸(期望狀態)之間的差異來預測、選擇 或計f一組製程參數,用以達到晶圓之狀態從輸入狀態改變至期 望狀悲之期望結果。舉例而言,這個預測組之製程參數可以是基 於一輸入狀態與一期望狀態使用之一配方之一第一估計。於一實 施例中,例如輸入狀態及/或期望狀態資料之資料可從一主機獲得。It can be obtained from Timbre Technology, Inc. (TEL), which provides the patented technology of the Sweeping Machine. The 11211 controller can use a difference between one of the input materials (input state) and a target critical dimension (desired state) to predict, select, or count a set of process parameters to achieve the crystal The state of the circle changes from the input state to the desired result of the desired sorrow. For example, the process parameters of the predicted set may be a first estimate based on one of the formulas used in an input state and a desired state. In one embodiment, information such as input status and/or desired status data may be obtained from a host.

於一種狀況下,R2R控制器190知道晶圓之輸入狀態與期望 狀怨,且R2R控制器190決定可在晶圓上執行的一組配方用以將 晶圓從輸入狀態改變成期望狀態。舉例而言,此組配方可說明包 括一組製程模組之一多步驟製程。 R2IU空制器190可使用表格式技術,而決定何時作技術轉換 之一規則可以以一輸入範圍、一輸出範圍、一晶圓型式、一製程 型式、一模組型式、一工具型式、一晶圓狀態以及一製程狀態之 至少一項為根據。舉例而言,配方可以在一表格中,而R2R控制 器190完成一表格查詢以決定使用何種配方。 當R2R控制器使用表格式技術時,前饋控制變數可以是可進 行配置的。舉例而言,一變數可以是表格中之一常數或係數。此 外,可能是具有多重表格,而決定何時作表格轉換之一規則可以 以一輸入範圍或一輸出範圍為根據。 16 1283024 把尺控制态之時間常數係基於量測 可在完成-批次之後取得時,把、^ 量測資料 即時被提供時,R2R控制器之時間常 在加工期間 加工步驟。當量測資料係可在—内的 之後或在完成此批次之後取得時,跋々辦可圓 瞻简喻步驟^ :晶ii之間、及/或批次 -他個獄控制器可以於任何時間點操作。舉例而古, 是處於-於^操倾式,而第二咖控制器可以 ϊί - 哭·^ 1個啷控制器可以以一模擬模式 π目女τ跋L可包含—早—迴路或多重迴路,而這些迴路 時間常數。舉例而言,迴路可以依據晶圓時序、批 次時序、批量時序、容室時序、工具時序、及/或工廠時J序批 R2R控制器可作為一單一輸入單一輸出(sls〇)裝置、一 =入:重輸出(SIMQ)裝置、-多重輸人單-輸出(Mls〇)裝置 及一夕重輸入多重輸出(ΜΙΜΟ)裝置。此外,輸入與輸出可以 微控制器之内及/或在-個或多個微控制器之間。舉例而^一 當使用例如CD與侧壁角度之多重輸入時,可在兩個模組之口’ 前與向後饋送輸入與輸出,(亦即,-個供CD控制用而一個^ 壁角度控制用)。此外,亦可使用一光罩開啟控制器。在包含多、 模組之一多製程的狀況下,可將資訊從一個幻义控制器 或向後饋送至另一個R2R控制器。 貝运 +加工次系統150可包含下述之至少一個:一蝕刻模組、一沪 積模組、一拋光模組、一塗佈模組、一顯影模組以及一埶 = 組。 …、王误 當一加工工具及/或製程模組將資料傳送至資料庫時,這 料可被R2R控制器所存取。舉例而言,這個資料可包含工乓追二 17 1283024 j料:維修資料與EPD資料。追蹤,料可提供關 R2R控制器190可基於輸入狀態、製程特徵與一製程模 =晶圓之-預測狀態。舉例而言,—修整速率 一加 用:ΐί:預,整量。或者,-_迷度二 =加工時間-起被使用以計算—_深度,而—沈積速率模型 I與一加工時間一起被使用以計算一沈積厚度。又,模型可包 j,表、PLS模型、PCA模型、適當距離相互關係(FDC 及多變量分析(MVA)模型。In one situation, the R2R controller 190 is aware of the input state and desired replies of the wafer, and the R2R controller 190 determines a set of recipes that can be executed on the wafer to change the wafer from the input state to the desired state. For example, this set of recipes can illustrate a multi-step process that includes a set of process modules. R2IU air compressor 190 can use tabular technology, and one of the rules for determining when to convert technology can be an input range, an output range, a wafer type, a process type, a module type, a tool type, a crystal At least one of a circular state and a process state is based on. For example, the recipe can be in a table and the R2R controller 190 completes a table query to determine which recipe to use. When the R2R controller uses tabular technology, the feedforward control variables can be configurable. For example, a variable can be one of the constants or coefficients in the table. In addition, there may be multiple tables, and one of the rules for deciding when to convert a table may be based on an input range or an output range. 16 1283024 The time constant of the ruler control state is based on the measurement. When the measurement data is available immediately after the completion-to-batch, the R2R controller time is often processed during the machining process. Equivalent measurement data can be obtained after - or after the completion of this batch, the process can be straightforward and simple steps ^: between crystal ii, and / or batch - his prison controller can be Operate at any time. For example, the ancient, is in the -, the second coffee controller can ϊί - cry · ^ 1 啷 controller can be in a simulation mode π 目 female τ 跋 L can contain - early - loop or multiple loop And these loop time constants. For example, the loop can be used as a single input single output (sls) device according to wafer timing, batch timing, batch timing, chamber timing, tool timing, and/or factory-time J-sequence R2R controller. = In: Re-output (SIMQ) device, - Multiple input single-output (Mls) device and overnight input multiple output (ΜΙΜΟ) device. In addition, the inputs and outputs can be within the microcontroller and/or between one or more microcontrollers. For example, when multiple inputs such as CD and sidewall angle are used, the input and output can be fed forward and backward at the mouth of the two modules (ie, one for CD control and one for wall angle control). use). In addition, a photomask can be used to turn on the controller. In the case of multiple processes with multiple modules, the information can be fed from one magic controller or backwards to another R2R controller. The Bay+Processing subsystem 150 can include at least one of: an etch module, a squash module, a polishing module, a coating module, a developing module, and a 埶 = group. ..., Wang Min When a processing tool and / or process module transfers data to the database, this material can be accessed by the R2R controller. For example, this information can include Gong Pong Cha 2 17 1283024 j materials: maintenance materials and EPD data. Tracking, feed can be provided. The R2R controller 190 can be based on input status, process characteristics, and a process mode = wafer-predicted state. For example, the trimming rate is added: ΐί: pre-, integer. Alternatively, - _ 2 = processing time - is used to calculate - depth, and - deposition rate model I is used along with a processing time to calculate a deposition thickness. In addition, the model can include j, table, PLS model, PCA model, and appropriate distance relationship (FDC and multivariate analysis (MVA) models.

R2R控制器可接收並利用外部所提供關於一製程模組 ^數極限之資料。舉例而言,K2R控制器GUI元件提供製二 虽限之手動輸人之-手段。此外,一工廠層㈣器可提供每個 製程模組之製程參數之極限。 R2R控制器可接收並執行由商業上可取得的模型試驗軟體所 建構的模型。舉例而言,R2R控彻可接收魏行由外部應 建構並被送至控制器之模型(PLS、PCA等)。The R2R controller can receive and utilize data provided externally about the limits of a process module. For example, the K2R controller GUI component provides a means of manual input. In addition, a factory floor (four) device provides the limits of the process parameters for each process module. The R2R controller receives and executes models constructed from commercially available model test software. For example, the R2R control can receive a model (PLS, PCA, etc.) that Wei Xing should construct externally and send to the controller.

第二IMM 134可提供加工後量測資料,其可被使用以建立一 晶,之一輸出狀態。第:IMM134可提供(回饋)加工後量測資料 之第一部分給R2R控制器190,並可提供加工後量測資料之第二 部分給資料庫與晶圓產出(waferout)事件1〇4。或者,兩個部分可 包含,同資料。第二IMM 134可包含一單一 IMM或多重量測裝 置。,二IMM 134可包含與製程模組相關的量測裝置、與工具相 關的量測裝置、以及外部量測裝置。舉例而言,資料可從連接至 一個或多個製程模組之感測器以及連接至加工工具之感測器獲 得。此外,資料可從例如一 SEM工具、一光放射光譜(〇ES)工^、 以及一 ODP工具之一外部裝置獲得。 R2R控制器190可使用來自第:IMM134之加工後量測資料 以計算一第一組之製程偏差。此種計算組之製程偏差可基於由加 18 1283024 測_所決定之晶圓之—翻晶· 疋。在-種狀況下,R2R控制器19〇知道 =㈣決 狀態,且R2R控制器19G決定期望狀態態與輸出 依此方式,量測的實心之間的差異。 便決定對製程心了==作比較,以 態與輸出狀社間的差異。依此方式,量定預測狀 預測的製程結果作比較,以便決定對製程結果係與 一目ί ΐΓίΓ^211 f細—錄方法以調整 ιυ舉例而s,一工廠所提供的目標CD盥一 ㈠1單的變數增量(她a)可被應用作為一^正 扑與:5ϋ係?回饋之另—種形式’其可藉由執行監;空晶片、 =新:;,一監控晶片刪^^ 可⑼卩1==間改變這些設定以檢查獨賴魏域,吾人 元f的操作空間,或同時執行數個具有不同配方設 ϊ itri ΐί更新可在工具或工廠處之跋控制器内產 生猎乂允井工廠控制管理監控晶片與模型更新。 制器19〇為下一個晶圓計算一更新配方。在一種狀況 L’制$ 190可使用前饋資訊、模型試驗資訊、以及反饋 貝a以決疋否在執行目制晶圓之前改變目前的配方。在另一種 大况:&gt; R2R控制态19〇可使用前饋資訊、模型試驗資訊、以及 反饋資訊以決定衫在執行下—個關之前改變目前的配方。或 t嫌,,器19()可使用前饋資訊、模型試驗資訊、以及反館 貧訊以決$气在執行下—働谈之前改變目前的配方。 、一R2R控制器、190可包含一個或多個過渡器(未顯示)以過濾量 測J料以便移除隨機雜訊。舉例而言,—過濾器可被應用至一控 制裔之輸入或輸出。在一種狀況下,過濾器可在不須關心控制之 方法(亦即’獨立使用一查表)之狀況下,被應用至用以過濾之輸入 19 1283024 细1τΐ可使控制器在—控制之範圍内改變輪出變數,例如以 旦、交流動速率,接著完成壓力之改變與使—流動速率改變 置逐《步受化。 可使,一離群值過滤器(outlier fl㈣以移除靜態上不正確且 二平均值計算方面不應被考量之離群值。可使用離 ===)方法可錢駐鄕量晰料。缝方法是有效^, 疋1於維持而無絕對極限’允許—組顧極限被細至一變化組 =雨入CD平均資料(在不影響過濾、||極限的狀況下,此目標可改 £ ’ tif於想像的。有關—離群值過〉慮器,額外規則需要被維 目之闕赠祕表示晶圓,而最小數目之 用ϊΐΓ:ϊ^滤器以移除隨機雜訊並穩定控制迴路’可應 ^ 7曰數加榷鶴平均(腹_或Kalman過滤器。當使用一 =數必須被設i(fEWMA的狀況下,λ係為‘ 二二二Γ ’EWMA計算每次可以藉由使用完整的歷史而 π成,以便猎由加工日期與時間擷取失序被增加之資料點。 R2R控制H可接收並彻前饋資料。舉綱言,腿控制考 y接收關於所欲加工之輸入材料與期望的製程結果(目標&amp;之: 讯’且R2R控制n可提供-組配方參數 : Z控制器可接收並利用反饋資料。舉例而接 型。控制器可接收並個被延遲之反饋資料 使身料亚非依浦被玉具所加I之順序序 ;仍 =收=加可工接之收材並 跳控彻则元件提供控 R2R控㈣可傳送與接收例外狀況之通知。舉铜言,腿 20 1283024 才工制态可傳送與接收來回一工廠層控制哭一工 器可作舉=’咖控制 r被記錄在咖控制器記錄與二^ -氣體流動率,並調整組合氣體之 mt-與建立 古,以入材料情況來選擇製程模型。舉例而 ΐ。=, 含確概系統可計算—正確的跋設定之手 ί定i手β 制器可包含在批次開始之前確認配方參數 二輿^又。㈣才工制盗可包含使用配方組點之預設設定之手 奴。舉例而言,當R2R_器無法提供 可使用”額定,,財巾之配方參數。认日η U之配方參數日$ ’ 於。包含1料庫元件,用以使輸入與輸出資料歸 田1 5二控制器可歸檔所接收的輸入、所送出之輸出, 3在=搜尋資料庫中由控制器所採取的動作。此外,幻R於 ,可包1料備份與復原之手段。又,可搜尋資料庫卜可 社資訊’且跋控制11可使㈣料庫元 件史與目前的模型資訊與模型組態資訊。 Μ Ϊ ^制盗可包含一網頁式使用者介面。舉例而言,R2R控 =态可υ 3促使GUI元件啟動之—資訊糊以檢資 巧。R2=制器可包含-安全元件,其可依據安全管理員犀戶:^ 的,可來提供。重的存取等級。職_器可包含—組於安裝時 所設置^預設麵’俾能使跋控繼可重設細設狀況。 依據例外之本質,跋控制!!可採取各種不_動作以因應 21 1283024 一例外。舉例而言,例外狀況可包含:遺漏所量測的資料、遺漏 目標CD、量測誤差、超過配方參數極限、超過製程模組來數極限、 及/或失序接收回饋事件。舉例而言,依照例外所採取的動作可以 是基於為藉㈣、賊方、製雜方、模_式、触識別號碼、 載入埠(loadport)數目、晶舟盒數目、批號、控制工作id、製程工 作ID及/或插槽數目而詳細描述的情況所建立之商業規則。以以 一層次而從最高層至最低層配置此情況。以一較高&gt;層匹配一情況 可推翻以一較低層匹配一情況。如杲沒有發現匹配情況,則可採 取預設動作。 'The second IMM 134 can provide post-process measurement data that can be used to establish a crystal, one of the output states. The IMM134 can provide (reward) the first part of the post-process measurement data to the R2R controller 190 and provide the second part of the post-process measurement data to the library and wafer out event 1〇4. Or, two parts can contain the same information. The second IMM 134 can include a single IMM or multi-weight measuring device. The second IMM 134 may include a measurement device associated with the process module, a measurement device associated with the tool, and an external measurement device. For example, data can be obtained from sensors connected to one or more process modules and sensors connected to the processing tool. In addition, the data can be obtained, for example, from an SEM tool, a light emission spectroscopy (〇ES) tool, and an external device of an ODP tool. The R2R controller 190 can use the post-process measurement data from the IMM 134 to calculate a first set of process deviations. The process variation of such a calculation set can be based on the wafer-turned 疋 determined by the addition of 18 1283024. In the case, the R2R controller 19〇 knows the = (four) decision state, and the R2R controller 19G determines the difference between the desired state state and the output, in this way, the measured solid. Then I decided to compare the process heart == for comparison, and the difference between the state and the output. In this way, the process results of the predictive prediction are compared for comparison, so as to determine the process result and the method of adjusting the ιυ, the target CD provided by the factory is one (one) 1 single. The increment of the variable (she a) can be applied as a ^ pun with: 5 ϋ? Another form of feedback can be performed by performing supervision; empty wafer, = new:;, monitoring chip deletion ^^ can (9) 卩 1 == change these settings to check the operation of the Wei domain, our yuan f Space, or several simultaneous implementations of different recipes. Itri ΐί update can generate hunting shogun factory control management monitoring wafers and model updates in the controller of the tool or factory. The controller 19 calculates an updated recipe for the next wafer. In one condition, L 190 can use feedforward information, model test information, and feedback to determine whether to change the current recipe before executing the target wafer. In another case: &gt; R2R control state 19〇 can use feedforward information, model test information, and feedback information to determine the current recipe before the shirt is executed. Or t-supplied, device 19 () can use feed-forward information, model test information, and anti-cold information to change the current formula before the implementation - talk. An R2R controller 190 can include one or more transitions (not shown) to filter the J material to remove random noise. For example, a filter can be applied to the input or output of a controller. In one situation, the filter can be applied to the input used for filtering without the need to care about the control (ie, 'independent use of a look-up table). 19 1283024 Fine 1τΐ can make the controller in-control range The change in the round-off variable, for example, the rate of the exchange, the rate of exchange, and then the change of the pressure and the change of the flow rate are stepped out. Alternatively, an outlier filter (outlier fl (4) can be used to remove outliers that are not statically considered and should not be considered in terms of two-mean calculations. You can use the method of ===) to save money. The sewing method is effective ^, 疋1 is maintained without absolute limit 'allowed--the group limit is fined to a change group=rain into the CD average data (this condition can be changed without affecting the filtering, || limit) 'Tif is imaginary. About the outliers, the extra rules need to be represented by the secrets, and the minimum number of uses: ϊ^ filter to remove random noise and stabilize the control loop 'Can be ^ 7 榷 number plus 榷 crane average (abdominal _ or Kalman filter. When using a = number must be set i (fEWMA condition, λ system is 'two two two Γ 'EWMA calculation can be used each time Use the complete history and π, in order to capture the data points that are added by the processing date and time. The R2R control H can receive and feed forward the data. The outline, the leg control test y receives the input about the desired processing. Material and desired process results (Target &amp; s: ' and R2R control n available - Group recipe parameters: Z controller can receive and use feedback data. For example, the controller can receive feedback that is delayed. The data made the body of Asian and African Yipu in the order of I added by the jade; = Receiving = Adding the workable material and jumping the control to the component to provide control R2R control (4) Notification of the transmission and reception exception status. Lifting the words, leg 20 1283024, the production mode can be transmitted and received back and forth, a factory floor control Cry a work can be done = 'Caf control r is recorded in the coffee controller record with two ^ - gas flow rate, and adjust the combination of gas mt - and build ancient, to enter the material situation to select the process model. For example =, with the system can be calculated - the correct set of hands ί i i i i β β i i i i i i i i i i i i i i i i i i 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认 确认Preset default hand slave. For example, when the R2R_ device can not provide the "Rated," recipe parameter of the financial towel. Recognize the date η U's recipe parameter date $ '. Contains 1 library component to make Input and output data return to field 1 5 2 controller can archive the received input, the output sent, 3 in the = search database by the action taken by the controller. In addition, the magic R, can be packaged 1 material backup and recovery Means. Also, you can search the database. 'And 跋 control 11 can make (4) library component history and current model information and model configuration information. Μ Ϊ ^ thieves can include a web-based user interface. For example, R2R control = state υ 3 prompted GUI The component is activated - the information is affixed to the asset. R2 = the controller can contain - the security component, which can be provided according to the security administrator rhino: ^. The heavy access level. The service _ can contain - group In the installation, the preset surface 'set' can enable the control to reset the fine condition. Depending on the nature of the exception, 跋 control!! Various actions can be taken to respond to the exception of 21 1283024. For example, the exception Conditions may include missing missing data, missing target CDs, measurement errors, exceeding recipe parameter limits, exceeding process module limits, and/or out-of-order receive feedback events. For example, the action taken according to the exception may be based on borrowing (four), thief side, maker, mode, touch identification number, number of load ports, number of boat boxes, batch number, control work id The business rules established by the detailed description of the process work ID and/or the number of slots. Configure this situation from the highest level to the lowest level at a level. Matching a higher level layer can be overturned to match a lower layer. If no match is found, the preset action can be taken. '

R2R控制器輸入可包含指令、基板狀態、模組物理狀能、掣 程狀態、及/或控制器參數。此外,R2R控制器輸入可包含^饋/、 反饋環路之時間常數、—關於累積之重置事件、—IMM步驟、以 及0DP補償(offset)。指令可包含目標、公差、計算命令、資料收 集計晝、演算法、模型、係數、及/或配方。基板狀態可包含來自 正被加工之基板之資訊(現場、晶圓、批次、批量狀態)、輪廓、及 /或物理上或電性量測的特徵。模組物理狀態可包含將被使用以處 if基板之模組與元件之目前或最後知道的記錄狀態一处小 時、晶圓^數目、及/或可消耗狀態。製程狀態可包含來自加工環 境之感測器之目前或最後知道的量測狀態,包括追蹤資料及/或摘 要統計。控制器參數可包含配方/控制器設定點之最終設定以及建 構基板狀態、模組物理狀態、及/或製程狀態之製程目^。 、R2R控制器輸出可包含下述項目:導出參數、設定 '一事件 或訊息、插入(intervention)、衍生情況(derivedc〇ntext)、記錄( 訊息、及域歷史。舉例而言,資料可被傳送至離線系統以供分 導出參數可包含由可表示㈣器、製程、材料、及/或設備離 之控制器所產生的資訊。設定可包含由嫌控_所計算之 工具參數,且一般於運轉時間被下載至工具。舉例而言「這些、參 數可包含步驟之時間、壓力、溫度、氣體流量及/或功率。一事y牛 或訊息可包含指示一例外已發生在正被控制之系統中的資訊。插 22 1283024 入可 ,3關於基於分析、结果由㈣;空制器所建議(或採取)之 作之貧ifL。姓航可包含由㈣控㈣所衍生之軌 錄訊息可以是說明咖控制ϋ之活動之—文字訊息。歷史項^ 傳送至離線系統以供—決定支援线(Dssm之分析 R2R控制II可包含支援至少—控制器應用之至 體。R2RS制器可包含儲存資料之至少一儲存裝置 至少-電腦可執行操條體,例如來自東魏子之 ^ 於-種狀況下,操條體可執行下述之至少—項:组 ίί ί 解決_、以及經由—gui之介面連接二 祕:^mrr μ 褒置型式(例如具、板組'感測器等)。資 枓&amp;理GUI i幕可被使用以決定收#f料之數量與型式,並以 決疋如何與何_存所㈣的資料。再者,故障管理咖營幕可 被使用以通知一使用者關於故障狀況。 之例絲本㈣之-纽狀n紅-操作方法 -虹=10Β中’獲得加工前量測資料。量測資料可包含現場量測 圓資料。舉例而言,現場量晰料可包含:配適度 而細度、CD、材料厚度、材料橫剖面面積、渠溝橫剖 二土度、差動寬度,現場結果,及/或現場數目。晶圓 貝.CDf:測旗標、量測現場之數目、配方結果、座標X、 及^ 此外,加工剞量測資料可包含至少一絕緣特徵部之 fc/f料與至少—套特徵部之嵌套CD f料,而一製程配方 ϊ由―i父絕緣CD資料和嵌套CD資料與目標CD而決定。舉例 t g二修整製程可基於絕緣CD資料與目標CD資料之間的 f p^/了,而一第二修整製程係基於嵌套CD資料與目標CD資 =行。,者’ 一第一變數增量可基於第一特徵部 、枓一目私CD貧料之間的差異而決定;一第二變數增量可 23 1283024 基於第二特徵部之CD資料與目標CD資料之間的差異而決定;以 及一修整製程可基於第一變數增量與第二變數增量之間的差里而 執行。 … 加工前量測資料可被使用於前饋控制。又,現場量測資料可 依據某些商業規則而被總結作為控制晶圓之統計值。 、 、、^工前量測資料可被過濾。舉例而言,可使用一離群值抑制 過^器以移除一晶圓上屬於離群值且是靜態上不正確的資料點。 換。之’那些並不可#的現場可被捨棄且不使用於晶圓平均計算The R2R controller inputs can include instructions, substrate status, module physical status, process status, and/or controller parameters. In addition, the R2R controller inputs can include the feed constant, the time constant of the feedback loop, the cumulative reset event, the IMM step, and the 0DP offset. Instructions can include targets, tolerances, calculation commands, data collection schedules, algorithms, models, coefficients, and/or recipes. The substrate state can include information from the substrate being processed (on-site, wafer, batch, batch status), profile, and/or physical or electrical measurements. The physical state of the module may include an hour, a number of wafers, and/or a consumable state of the current or last known recording state of the module and component to be used. The process status may include current or last known measurement status of the sensor from the processing environment, including tracking data and/or summary statistics. The controller parameters may include the final settings of the recipe/controller setpoint and the process parameters for constructing the substrate state, the module physical state, and/or the process state. The R2R controller output can include the following items: export parameters, set 'an event or message, intervention, derived (derivedc〇ntext), record (message, and domain history. For example, the data can be transmitted The offline system may be used to derive the parameters derived from the controller, the process, the material, and/or the device. The settings may include the tool parameters calculated by the suspect, and generally operate. The time is downloaded to the tool. For example, "These parameters can include the time of the step, pressure, temperature, gas flow, and/or power. The y or message can include an indication that an exception has occurred in the system being controlled. Information. Insert 22 1283024 into the can, 3 on the basis of analysis, the results by (4); the air conditioner recommended (or taken) of the poor ifL. The surname can include the (4) control (four) derived track record information can be the description Control the activity of the — - text message. The history item ^ is transmitted to the offline system for the decision support line (Dssm analysis R2R control II can include at least - controller application to the body. R2RS system The at least one storage device that can store the data may be at least - the computer executable body, for example, from the East Weizi, in the case of at least one of the following: the group ίί ί - gui interface connection second secret: ^ mrr μ 褒 type (such as the tool, board group 'sensor, etc.). 枓 &amp; GUI GUI screen can be used to determine the number and type of #f material, and In order to determine how and how to store the information (four). In addition, the fault management cafe screen can be used to inform a user about the fault condition. Example of the text (four) - the shape of the red - operation method - rainbow = 10Β中'Get the pre-processing measurement data. The measurement data can include the field measurement circle data. For example, the on-site quantity can include: fit and fineness, CD, material thickness, material cross-sectional area, trench Cross-section, soil width, differential width, field results, and/or number of sites. Wafer. CDf: Flags, number of measurement sites, recipe results, coordinates X, and ^ In addition, processing 剞 measurement data Included at least one insulating feature of the fc/f material and at least the sleeve feature Set of CD material, and a process recipe is determined by the "i parent insulation CD data and nested CD data and the target CD. For example, the tg two trimming process can be based on the fp^/ between the insulated CD data and the target CD data. The second trimming process is based on the nested CD data and the target CD resource=row. The first variable increment can be determined based on the difference between the first feature part and the first-order private CD lean material; The two variable increments 23 1283024 are determined based on the difference between the CD data of the second feature and the target CD data; and a trimming process can be performed based on the difference between the first variable increment and the second variable increment ... The pre-process measurement data can be used for feedforward control. Also, on-site measurement data can be summarized as statistical values for controlling wafers based on certain business rules. , , , and ^ pre-work measurement data can be filtered. For example, an outlier suppression filter can be used to remove data points on a wafer that are outliers and that are statically incorrect. change. The scenes of those that are not available can be discarded and not used for wafer average calculations.

於-種狀況下,-平均/σ比較方法可被使用在加工前量 舉例而言,對—^乘法器可指定χ;所有資料點可被_ t平均健ϋ兩個鎌可計算出(平均值+^σ與^ 么σ),在極⑯外部之所有資料點可被 最後的脱量剥。或者,離群值可藉由使用 ㈣^ Μ5中’可提供—個或多個可被使用以決基板之 附^ t厅才工制之CD 〇換吕之,在所欲控制CD鱼目樟ΓηIn the case of -, the average / σ comparison method can be used in the pre-processing amount. For example, the -^ multiplier can be specified χ; all data points can be calculated by _ t averaging two 镰 (average The values +^σ and ^ σ), all data points outside the pole 16 can be stripped off by the last. Alternatively, the outliers can be changed by using (4) ^ Μ 5 'supplied one or more CDs that can be used to determine the substrate of the substrate. Γη

i所ίΓ立因為職制CD係由所欲控制《 目方ϋ 亦由所欲控制製程室所定義。因此,每^ ^可的,且與每個控細目關物 此工廠目標CD係為由MES 晴CD,; 目標CD係藉由使用來自一则之輸I而提=、之CD,而内 於2。中’可決定期望的製程結果 資:當r前量測資料小“=時 —冲錯々田加工則!測資料大約等於目標㈤夺才 24 1283024 一,,零或空(Null)狀況。當加工前量測資料大於.目標cd時,可建 立一修整量。如果已確認包含修整量與配方參數之間的關係之製 程模塑,則一製程期間所欲移除之修整量可被視為期望結果。 於225中,可決定所欲使用的配方。舉例而言,可g供一個 或多個製程模型。一製程模型表示期望結果(輸出)與必須達丄那些 結果之所接收的變數之間的確認關係。製程模型可包含表格式&amp; 型。 、 、 表格式模型可包含基於某些評估的實驗資料之包含期望結果與配 , 方變數之分段聯結之表格。一製程模型可以是線性的或非^性的。 如圖3所示,本發明可被使用以控制指定控制CD之修整蝕 刻量並達到在公差内之目標CD。舉例而言,基於修整餘刻/量,控· 制裔(TL與R2R)可使用一種簡皁的箱演算法(控制配方選擇方 法)。首先,必須評估包含關於所欲修整之數量的資訊之控制配方 並預先獲得資格。表1顯示評估結果之一例。一額定配方係為具 有修整蝕刻量(TA)之基本參考製程配方。此例顯示四個具有各^ 相關的修整蝕刻量(TA1、TA2、TA3、TA4)之控制配方(控&amp;配方i、 2、3、4),但對本發明而言這並非需要的。可使用不同數目之配方 與多維度配方(亦即,目標CD與目標深度)。 表1 範例控制配方查表 控制配方 修整量 額定配方(NR) 修整量(TA) * 控制配方1(CR1) 修整量1(TA1) 控制配方2(CR2) 修整量2(TA2) 控制配方3(CR3) 修整量3(TA3) ~ 控制配方4(CR4) 修整量4(TA4) 基於配方查表(表1)範例,配方選擇之概念圖表係如顯示於圖 4上。儘管圖表中只顯示一邊,但每箱表示一雙邊尺寸(兩倍尺寸&quot;。 此外,亦顯示箱邊界(BB1-BB5)。 、 25 1283024 …於種狀況下,使用者可為每個控制配方釔置控制 &amp; ,每個控制配方與各個修整量;以及輸入修整量上盥; 3軟亡ΐ界可被仙鍵立可藉_先合格的控觀方“成之 修整置的-上限。舉例而言,當超過一上邊界時,可宣布一 ^況或可執行4步驟製程。下邊界可被使践建立可藉二 1的控制配方而達成之修整量的—下限。在使用者確認此组能 之後,TL控制器(lngeni〇)基於修整量輸入(TAs)將上下邊界之間&amp; 修整1空間分誠數鋪區域。每個箱之下與上邊界係由兩 近的修整量之中位數所決定’且控制配方與修整量之每個聯The position of the system CD is determined by the desire to control the "process". Therefore, each CD is available, and with each control item, the factory target CD is MES clear CD; the target CD is by using the CD from one, and the CD is 2. In the 'can determine the expected process results: when the r measurement data is small" = time - rushing the wrong field processing! The measured data is approximately equal to the target (five) to win 24 1283024 one, zero or null (Null) situation. When the pre-processing measurement data is greater than the target cd, a trim amount can be established. If the process molding including the relationship between the trim amount and the recipe parameters has been confirmed, the trimming amount to be removed during a process can be regarded as Expected results. In 225, the formula to be used may be determined. For example, one or more process models may be provided. A process model represents desired results (outputs) and received variables that must meet those results. The relationship between the process model and the process model may include a table format &amp; type, the table format model may include a table based on the experimental data of certain evaluations including the segmentation of the desired result and the distribution, the variance of the variance. Linear or non-conform. As shown in Figure 3, the present invention can be used to control the amount of trim etch of a specified control CD and achieve a target CD within tolerances. For example, based on trimming allowance/quantity, control Asian (TL and R2R) A simple soap box algorithm (control recipe selection method) can be used. First, a control formula containing information on the amount to be trimmed must be evaluated and pre-qualified. Table 1 shows an example of the evaluation results. A nominal formulation is a basic reference process recipe with a trim etch (TA). This example shows four control recipes with various trimming etches (TA1, TA2, TA3, TA4) (control &amp; recipe i, 2, 3, 4), but this is not required for the present invention. Different numbers of formulations and multi-dimensional formulations (i.e., target CD and target depth) can be used. Table 1 Example Control Formula Check Table Control Formula Dressing Rating Formulation (NR) Dressing (TA) * Control Recipe 1 (CR1) Trimming 1 (TA1) Control Recipe 2 (CR2) Trimming 2 (TA2) Control Recipe 3 (CR3) Trimming 3 (TA3) ~ Control Recipe 4 (CR4) Trimming Volume 4 (TA4) Based on the Formula Checklist (Table 1) example, the concept chart for recipe selection is shown in Figure 4. Although only one side is shown in the chart, each box represents a double dimension (twice the size) &quot;. In addition, the box boundary (BB1-BB5) is also displayed. , 25 1 283024 ... Under the condition, the user can set the control &amp; for each control formula, each control formula and each trim amount; and input the trim amount; 3 soft death can be borrowed by Xianjian _ The first qualified control party "converts the upper limit - for example, when it exceeds an upper boundary, it can declare a condition or can perform a 4-step process. The lower boundary can be established by the second one. The lower limit of the amount of dressing that is achieved by controlling the recipe. After the user confirms the set of energy, the TL controller (lngeni〇) divides the space between the upper and lower boundaries by the trim amount input (TAs). The lower and upper boundaries of each tank are determined by the median of the two trimmings and the control formula and trimming amount are each

分配給-箱。或者,可從MES下載控細己方、修整整^ 之控繼數蚊。 mu 每箱具有其自己的修整量邊界。一旦決定了期望CD,TL^ 制器(Ingenio)就可決定其中設有所欲修整量之箱。舉例而言 ^Assigned to - box. Or, you can download and control the number of mosquitoes from the MES. Mu Each box has its own trim boundary. Once the desired CD is determined, the TL controller (Ingenio) determines the box in which the desired amount of trimming is placed. For example ^

CD可以是一量測CD、一計算CD及/或指定CD。這意‘著選 了控制配方中最接近的修整量。此例之簡單的箱演算法係顯示於 圖5中。於此例中,選擇了控制配方3,且基板係藉由使用ta3 而待被修整。控制配方之所欲修整量與選擇修整量之間的某些差 異(亦即,修整量誤差)必須配置在目標CD公差之内。 一 一控制失敗可發生’而TL控制器(Ingenio)或工具(Telius)可债 測一控制失敗。量測資料失敗與配方選擇失敗係為可藉由TL控制 器(Ingenio)而被偵測之控制失敗之型態。可藉由工具而被偵測^控 制失敗之型態係為配方接收暫停、整合通訊失敗以及同步失敗。 當控制失敗發生(配方接收暫停或整合通訊失敗)時,下述其中 一個選項可被使用以控制TL控制器(Ingenio) ··使用工具製程/配 方;規避而不需處理;以及停止R2R控制程序。當控制失敗發生 時,下述其中一個選項可被使用以控制工具(Telius)動作:批:^繼 續與批次中斷。 &quot; 控制計晝可被視為一獨立批次(run-to-run)控制單元。於此單元 中,組態設定包含具有一製程工具、控制製程、控制失敗動作及/ 26 1283024 之整合控制。獨立控制單元包含控制室與相關 m ΪΖί ’係藉由使用於步驟225中所決定的配方來加工晶 —修整程序可藉由使用可包含一 C0R模組、一 =杈▲以及至少一緩衝器模組之一加卫次系統觀蝴而 元成。 τ】ϋ ί具可將一晶圓移入一第一緩衝器、(加載互鎖室(L〇ad ))棋、、且中。第一缓衝器(加載互鎖室)模組抽光空氣以達到真 1可將晶圓移動至一第二緩衝11 (PHT)模組;GUI狀態螢幕 中之,。接著,工具可將晶圓移入一第一製 :( )$:、且中,TL控制裔(FDC元件)可選擇在一控制策略中所 ^之資料收集(DC)策略,並設定感測器;狀態螢幕可被更新; ^組狀態可改變;工具執行第—製程模組之—,,配方開始,,;狀態榮 幕可被更新(模組狀態可變成”晶圓加工”)。然後,感測器可開始記 錄,配方循環經由加工步驟;第一製程模組可傳送一”配方結束” 事件’感測益可停止§己錄,工具將晶圓移動至一第二緩衝哭(pht) 巧組。接著,TL控制器(FDC元件}可從工具收集資料檔,°°並基於 貧料收集計晝過濾器開始加工資料;TL控制器(FDC元件)可選擇 在控制策略中所定義之一分析策略;加工模組與加工狀態資料; 以及更新資料庫(亦即,模組狀態與製程狀態)。然後,狀能螢幕可 被更新(模組狀態可顯示LL/ΡΗΤ中之晶圓;第二緩衝器(p^T)模組 之一 Π配方開始&quot;;狀態榮幕可被更新(模組狀態可改變”晶圓加工 )。接者’感測裔可開始5己錄,配方循環經由加工步驟;第 '缓衝 器(ΡΗΤ)模組可傳送一,,配方結束,,事件;感測器可停止記錄丁工具 將晶圓移動至第一緩衝器(加載互鎖室)模組;真空狀態從真空變成 大氣壓力,工具移動晶圓離開第一緩衝器(加載互鎖室)模組·以及 狀態螢幕係被更新。 ^ ’ 於235中,可獲得加工後量測資料。加工後量測資料可在一 時間延遲之後獲得,而此時間延遲可從幾分鐘到幾天不等。加工 27 1283024 後量測資料可被使用以作為回饋控制之一部分。又, 料可依據某些前規躺機結作為娜晶圓之統計值。服資= 了以y個控制計晝之預先量測資料與—個不同的之德 直測貧料。又,加J1後量測龍可被碱。舉躺言,—‘ 可被·以移除H屬於離群似在靜態上是不 於ίϊΐίί二言之,那些並不可靠的現場可被捨棄且不使用 w於:種狀ί下’―平均/(7比較方法可被使用在加卫後量測資 i難2而&amp;,可,定—σ乘法器;可將所有資料點總結成一 ^句值” 一 σ,可計算出兩個極限(平均值+ X倍σ與平均值_ χ # 1 除在極限外部之所錢料點;平均值並可麵計算並可&quot; ίϊ取後的Μ量測。或者,離群值可藉由使用一盒鬚圖方法而被 定·%::里加工後量測資料係與加工前量測資料作比較以決 j。加卫後cd資料可表示來自—製程或製程步驟 一此外’在一製程期間所量測的修整量可被視為 行-錢以蚊触衫已絲。舉例而言, 1已被達斜完成。#已完成此製辦,程序· 22〇。 亚',、°束。當並未完成此製程時,程序200分歧至步驟 告听於製程誤差之—估計數量),表示製程傾向並 斥拴制态取佳化製程模型與配方參數。 ,,用”控制策略”。舉例而言,控制策略可基 略ΐ以一η。母個配合情況之控制策略可被執行。控制策 制為基麵評估。㈣策略可包含,或多個控 來i ^包含控制模型°當同時執行多重控制模型時, 、自先則杈型之輸出可能被使用作為下一個 正破控制之每個製雜組之至少-控撕*。 存在有 28 1283024 配之锻略,I胸包含待匹 控制計晝。控制計策略包含—個或多個 表格驅策。所有㈣°舉而言’控制模型可受 机π π啕組悲貝讯可被儲存在資料庫中。 要灰=個步驟制輯卫具下載祕配方。使用者可 二進位播案可被儲存ί“it徑、模組配方名稱、與 組,%螢幕可包含—顯示控制策略、控制計晝 ώ ΐΐ,樹狀視圖。使用者可建立、匯人、匯出: π、土那禾逑擇了 一物件並建立一新的 相關。舉例而言,如果選擇了一控制策略並建i 新的控制Η·晝’則控制計晝可以與控制策略相關。 方名^樹圖可顯示控制策略之純配方名稱與控制計晝之模組配 個且下’—些GUI螢幕可以與—跋控制器相關,每 不同的個別函數。舉例而言,一批次(Run t〇 Run)控制器螢 tli許使用者切換成其他螢幕;—配方範酸幕可令使用者以 早位仏視玉具製程配方魏,選擇某雜數作驗繼數,並輸 =工制!數之下與上製程變數極限;一控制配方螢幕可令使用者 欢,所4擇的待被控制之工具製程配方變數並輸入每個配方步驟 ^母個控繼數之設定;—控撕錢幕可使製紅具、控制製 ίϊΐ制失敗動作#訊與㈣演算法資訊之整合控㈣訊之組態 =得谷易,並可使一使用者輸入與每個控制配方相關的修整蝕刻 =以裝人簡單的絲;以及—控槪態縣可令使用者檢視選 擇的批次(run to run)控制計晝與控制晶圓相關的狀態資訊。 29 1283024 一製程之批次(mn-to-mn)控制之一例示組態程序可以如下:ι· 選擇配方範圍螢幕並基於預設值來設定配置它。2•切換成控制配方 螢幕,並基於配方範圍組態來設定配置它3.切換成控制計晝螢幕 並配置整合標籤(標籤)、控制標籤與演算法標籤。4.從控制計晝一 演算法標籤頁,切換成裝箱表視窗並基於控制配方組態來設定配 置此箱表。5·切換成控制狀態螢幕並檢視選擇的歷史的或運行的控 制計晝狀態與控制晶圓狀態。 一個例示的配方範圍螢幕係顯示於圖6中。此種榮幕可令使 用者以單位來檢視工具製程配方變數,選擇控制變數並輸入控制 變數之下與上製程極限。每個變數之說明是可編輯的。 配方範圍螢幕可包含一些組態項目。表2顯示配方範圍勞幕 上之某些組態項目之例示視圖。 表2 配方範圍組態之顯示項目 顯不項目 說明 Name(名稱) 配方範圍名稱。使用者可在選擇新的或複製按 鈕之後輸入配方範圍名稱。 在選擇編輯按鈕之後,無法改變配方範圍名稱。 禁止名稱空(Null)、額定(Nominal)、與預設 (Default)當作配方範圍名摇。 Description(說明) 配方範圍說明。 一'一 — 配方範圍榮幕可包含至少一複選框(checkbox),例如一保護複 選框。表3顯示配方範圍螢幕上之某些複選框項目之例示視^。 表3 配方範圍組態之複選框說明 複選框 說明 ~—-- Protection(保護) GUI只允許使肖者輸人桃 更精^的極限。對控制配方而言,只有保護的 配方範果你不選擇保護“ “祐 30 1283024 制汁晝之保護。當啟動保護時m Τβ兄明以外, 表4顯示配方範圍螢幕上之某些·ί^ϊ^ίϊ 表4 項目棚 說明 ^~ --—— Control(控制) 士 制識別碼 為一控制變數。 Variable (unit) [變數(單位)] 早位之 私工具C}UI樣式〇這是唯讀的。 Lower Limit(下限) 工具製程配方變數之下限。藉由點選儲存梅i 入數值。 Upper Limit(上限) 工具製程配方變數之上限。藉由點選儲存ϋ 入數值。 Description(說明) 控制變數說明。預設值係以工具製程配方ϋ 為基礎。變數說明係用以補充控制變數之控制 備忘錄。 表5顯示配方範圍螢幕上之某些按紐項目之例示視圖 表5 配方範圍組態區域上之按姐說明 按鈕 說明 Reset(重設) 重設成預設設定。依據製程工具設定準備預設設 定。 0K(確認) 將配方範圍儲存至DB並將螢幕設定成檢視模式。 於此時,無法編輯配方範圍組態。如果發現一重複 的配方範圍名稱,則開啟一訊息盒視窗。 Save(儲存) 將配方範圍儲存至DB。配方範圍資訊係可編輯 31 1283024 白f。如果發現一重複的配方範圍名稱,則開啟一訊 α盒視窗〇The CD can be a CD, a CD, and/or a CD. This means that the closest trimming amount in the control recipe is selected. The simple box algorithm of this example is shown in Figure 5. In this example, Control Formula 3 was selected and the substrate was to be trimmed by using ta3. Some difference between the desired amount of trimming of the control recipe and the selected trim amount (i.e., the trim amount error) must be within the target CD tolerance. One control failure can occur 'and the TL controller (Ingenio) or the tool (Telius) can fail to control. The failure of the measurement data and the failure of the recipe selection are the types of control failures that can be detected by the TL controller (Ingenio). The type of control that can be detected by the tool is the recipe reception pause, the integration communication failure, and the synchronization failure. When a control failure occurs (recipe reception pause or integrated communication failure), one of the following options can be used to control the TL controller (Ingenio) · Use tool recipes/recipes; circumvent without processing; and stop the R2R control program . When a control failure occurs, one of the following options can be used to control the tool (Telius) action: batch: ^ continue and batch interrupt. &quot; Control Plan can be considered as a run-to-run control unit. In this unit, the configuration settings include integrated control with a process tool, control process, control failure action, and / 26 1283024. The independent control unit includes a control room and associated m ΪΖ 'processed by using the recipe determined in step 225 - the trimming process can be performed by using a SOC module, a = 杈 ▲, and at least one buffer modulo One of the groups added the sub-system to watch the butterfly and Yuancheng. τ ϋ ί can move a wafer into a first buffer, (load lock chamber (L〇ad)) chess, and. The first buffer (loading lock chamber) module draws light to reach true 1 to move the wafer to a second buffer 11 (PHT) module; in the GUI status screen. Next, the tool can move the wafer into a first system: ( ) $:, and, the TL control person (FDC component) can select a data collection (DC) strategy in a control strategy, and set the sensor The status screen can be updated; the group status can be changed; the tool executes the first-process module, the recipe starts, and the status screen can be updated (the module status can be changed to "wafer processing"). Then, the sensor can start recording, and the recipe loops through the processing step; the first process module can transmit a “recipe end event”, the sensory benefit can be stopped, and the tool moves the wafer to a second buffer to cry ( Pht) Qiao group. Next, the TL controller (FDC component) can collect the data file from the tool, and start processing the data based on the lean material collection filter; the TL controller (FDC component) can select one of the analysis strategies defined in the control strategy. Processing module and processing status data; and updating the database (ie, module status and process status). Then, the screen can be updated (the module status can display the wafer in LL/ΡΗΤ; the second buffer) One of the (p^T) modules Π recipe start &quot;; state glory can be updated (module status can be changed) wafer processing. Receiver's sense of sensation can start 5 records, recipe cycle through processing Step; the 'buffer (ΡΗΤ) module can transmit a, recipe end, event; the sensor can stop the recording tool to move the wafer to the first buffer (loading lock chamber) module; vacuum The state changes from vacuum to atmospheric pressure, the tool moves the wafer away from the first buffer (loading lock chamber) module, and the status screen is updated. ^ ' In 235, post-processing measurement data is available. Data can be obtained after a delay, while The time delay can vary from a few minutes to a few days. After processing 27 1283024, the measurement data can be used as part of the feedback control. In addition, the material can be used as a statistical value of the nano wafer according to some front gauges.资 = The pre-measurement data calculated by y control and a different morality directly measured poor material. In addition, after adding J1, the amount of the dragon can be measured by the base. H belongs to the outliers and is not static in the static. The unreliable scenes can be discarded and not used in w: the seed type ί ‘“average/(7 comparison method can be used after the Guardian Measured i difficult 2 and &,; can, determine - sigma multiplier; can sum all data points into a sentence value "one sigma, can calculate two limits (average + X times σ and average _ χ # 1 Except for the points of money outside the limit; the average value can be calculated and can be measured by the Μ 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者 或者: After processing, the measurement data is compared with the pre-processing measurement data to determine j. After the addition, the cd data can be expressed from the process or process steps. In addition, the amount of trim measured during a process can be regarded as a line-money. The mosquito has been touched. For example, 1 has been completed. #This has been completed, the program · 22〇. When the process is not completed, the program 200 diverges to the step to listen to the process error - the estimated number, indicating the process tendency and reprimanding the state to take the optimization process model and recipe parameters. For example, the control strategy can be based on a η. The parental control strategy can be implemented. The control strategy is based on the evaluation. (4) The strategy can include, or multiple controls. ^ Including the control model ° When the multiple control model is executed at the same time, the output of the self-first type may be used as at least the control tear of each of the next control group. There are 28 1283024 with forging, and the I chest contains the control. The control strategy includes one or more table drivers. All (four) ° lifts in the control model can be stored in the database by the π π 啕 group. To be gray = a step to make a play to download the secret recipe. The user can save the binary file, the module recipe name, the group, the % screen can contain the display control strategy, the control plan, the tree view. The user can create and transfer people. Remittance: π, Tu Nahe choose an object and establish a new correlation. For example, if a control strategy is selected and a new control Η·昼 is selected, the control plan can be related to the control strategy. The name of the tree can display the pure formula name of the control strategy and the module of the control plan and the next '- some GUI screens can be related to the 跋 controller, each different individual function. For example, a batch (Run t〇Run) controller flash tli allows the user to switch to other screens; - formula screen screen allows the user to look at the jade process recipe Wei in the early position, select a certain number to test the succession, and lose = Under the system number and the upper process variable limit; a control formula screen can make the user happy, the selected tool recipe formula variable to be controlled and input each recipe step ^ parent control number setting; Controlling the tear screen can make the red and control system Defeat action #讯与(4) Integration of algorithm information (4) Configuration of the message = Deguyi, and allows a user to input the trimming etching associated with each control recipe = to load a simple wire; and - control The county can let the user view the run to run control program and the status information related to the control wafer. 29 1283024 One process batch (mn-to-mn) control exemplifies the configuration program As follows: ι· Select the recipe range screen and configure it based on the preset value. 2 • Switch to control recipe screen and configure it based on recipe range configuration 3. Switch to control screen and configure integrated label (label ), control tag and algorithm tag. 4. From the control program, the algorithm tab, switch to the box view window and set the box based on the control recipe configuration. 5. Switch to control status screen and view selection Historical or operational control of the state of the wafer and control of the wafer state. An exemplary recipe range screen is shown in Figure 6. This kind of screen allows the user to view the tool recipe recipe variables in units, select control changes Enter the control variable below and the upper process limit. The description of each variable is editable. The recipe range screen can contain some configuration items. Table 2 shows an example view of some configuration items on the recipe range screen. 2 Recipe range configuration display item No item description Name Name of the recipe range. The user can enter the recipe range name after selecting the new or copy button. After selecting the edit button, the recipe range name cannot be changed. Null, Nominal, and Default are used as the formula range name. Description (Description) Description of the recipe range. One 'one—The recipe range can contain at least one checkbox. For example, a protection check box. Table 3 shows an example of some of the check box items on the recipe range screen. Table 3 Description of check boxes for recipe range configuration Check box Description ~—-- Protection The GUI only allows you to enter the limit of the finer. For the control formula, only the protected formula is that you do not choose to protect the protection of “Yu 30 1283024. Table 4 shows some of the recipe range screens when the protection is activated. Table 4 shows the project shed description. ^~ --—— Control The controller ID is a control variable. Variable (unit) [variable (unit)] Early private tool C} UI style 〇 This is read-only. Lower Limit The lower limit of the tool recipe variable. Save the value by clicking on the store. Upper Limit The upper limit of the tool recipe variable. Enter the value by clicking Save. Description Controls the description of the variable. The default values are based on the tool recipe ϋ. The variable description is used to supplement the control memo of the control variable. Table 5 shows an example view of some of the button items on the recipe range screen. Table 5 Description of the recipe range on the recipe range Button Description Reset Reset to the default settings. Prepare preset settings according to the process tool settings. 0K (confirm) Saves the recipe range to DB and sets the screen to view mode. At this point, the recipe range configuration cannot be edited. If a duplicate recipe range name is found, a message box window opens. Save Saves the recipe range to the DB. Recipe range information is editable 31 1283024 White f. If a duplicate recipe range name is found, then a message box is opened.

域目區域視 I 表6顯示配方範圍營幕上之某些額外按钮項目之例示視 上之按鈕說 按la --—-—-_ 說明+ Close(關閉) 關閉配方範圍螢幕並回到R2R控制器螢幕。如果在 編輯時被點選,則開啟一訊息盒。 New(新的) 建立與一製程室相關的一新的配方範圍。點選此製 聯結。配方範圍名稱必須被輸入。_一 (複製) 點選配方範圍名稱作為複製來源。點選C〇py以複 製此選擇的配方範圍。預設狀態下,所複製的配方 範圍並未受保護且配方範圍名稱係為空白。 Edit(編輯) 使用者檢視配方範圍並點選Edit以改變既存的配方 範圍設定。在編輯之前不選擇保護。一旦不選擇保 護,就可編輯組態表。 Delete(冊丨J除) 點選Delete以刪除既存的配方範圍。在刪除配方範 圍之前,刪除所有相關的控制配方。如果選擇了保 遠’則刪除按钮是沒有作用的。 一配方範圍領航員(Navigator)面板係顯示在配方範圍螢幕之 左侧上,配方範圍係與各個製程模組相關。藉由點選樹狀表與任 何下端操作鈕上之配方範圍名稱,一使用者可操作配方範圍。 例如圖6所示,藉由使用一配方範圍螢幕,一使用者可執行 一配方範圍組態、檢視一既存的配方範圍、建立一新的配方範圍、 複製一既存的配方範圍、編輯一既存的配方範圍、以及刪除一既 存的配方範圍。 此外,例如配方範圍螢幕之R2R控制器螢幕可包含用以檢視 軟體與工具版本資訊之一按鈕。 32 1283024 、圖乙顯示依據本發明之一實施例之一控制酡方螢幕之一例示 二這個螢幕可令使用者檢視被選擇成待受㈣之工具製程’配 方艾數,並編輯每個配方步驟之每個控制變數之設定。 :個控伽方螢幕可包含—些組態項目。表7顯示控制配方 螢幕上之某些組態項目之例示視圖。 表7 顯示項目 一控巧配方組態之顯示項目The area of the area is shown in Table 6. Table 6 shows the example of some of the extra button items on the recipe range. The button on the screen is called la ------_ Description + Close Closes the recipe range screen and returns to R2R control. Screen. If clicked during editing, a message box is opened. New Establishes a new range of formulations associated with a process chamber. Click on this system to connect. The recipe range name must be entered. _1 (Copy) Click the recipe range name as the copy source. Click C〇py to copy the recipe range for this selection. In the default state, the copied recipe range is not protected and the recipe range name is blank. Edit The user views the recipe range and clicks Edit to change the existing recipe range settings. Do not choose protection before editing. Once you have not selected protection, you can edit the configuration table. Delete Click on Delete to delete the existing recipe range. Delete all relevant control recipes before deleting the recipe range. If you choose Protect, the delete button has no effect. A recipe range Navigator panel is displayed on the left side of the recipe range screen and the recipe range is associated with each process module. The user can manipulate the recipe range by clicking on the recipe range name on the tree table and any lower end operation buttons. For example, as shown in FIG. 6, by using a recipe range screen, a user can perform a recipe range configuration, view an existing recipe range, create a new recipe range, copy an existing recipe range, and edit an existing one. The scope of the recipe, as well as the deletion of an existing recipe range. In addition, the R2R controller screen, such as a recipe-wide screen, can include a button to view software and tool version information. 32 1283024, FIG. B shows one of the control screens according to one embodiment of the present invention. This screen allows the user to view the tool recipe selected by the candidate (4) and edit each recipe step. Each of the control variables is set. : The control gamma screen can contain some configuration items. Table 7 shows an illustrative view of some of the configuration items on the control recipe screen. Table 7 shows the project. Display project of a control formula configuration

Name(名稱)Name

Description (說明)— Cell Edit Counter (儲存格編輯 計數器) 控制配方名稱。當點選新的或複製按紐時,使用者必 須輸入唯一的控制配方名稱。當點選編輯按鈕時,使 用者無法改變控制配方名稱。保留例如空、額 矣gjgminal)、與預設(Default)之名稱以 控制配方說明 GUI計算變數階段設定輸入之數目並 料。這是唯讀的 圖 表8顯示控制配方螢幕上之某些顯示表項目之例示視 項目搁 說明 ~'' 1 Variable (unit) [變數(單位η 内部具有單位之控制變數。唯讀顯示資 圍組態設定。 Lower(下限) 工具製程配方變數之下限。數值必須被 Upper(上限) 工具製程配方變數之上限。數值必須被一 Description (說明) 控制變數說明源自配方範圍組態設定。 33 !283〇24 ------ Step 1-24 (步驟1 -24) 控制配方 顯示控制配方 ^ 9 保1 ί程配方步驟1_24之控輕數設定。所 數11之下。如果控制變數為時間,則使用 含至少—複選框,例如—倾複選框。表9 索幕上之某些複選框項目之例示視圖。 菱複選框 |選框 ~~--- Protection (保護) GUI k查母個變數之輸入數值是否在各個下限與上 限之内:如果它們係在極限之内,則儲存這些設定。 如果不是,則提示使用者改變這些設定。保^蔓的控 =方,定_於控制計晝^如果不選擇保護且儲 子這些,定,則將移除所有使用控制配方之控制計 保護。只有在不選擇保護時,可儲存控制配方 此外,控 表10顯示控^ 表10 控〗 :制配方㈣可^含―魏翻目 射配方螢幕上之某些按鈕項目之例示視圖。 射配方按鈕 按鈕 說明 -- 丨一---------- Clear(清除) 清除所有的步驟設定。在清除設定之前開啟一訊息 Sl 〇 Ok(確認) 將配方誠儲存至DB並將螢幕設 時,無法編輯配方範圍組態。如果發現一重複的配 方範圍名稱,則開啟一訊息盒視窗。 Save(儲存) 將配方耗圍儲存至DB。配方範圍資訊可被編輯。如 果發現一重複的配方範圍名稱,則開啟一訊敗各視 % 0 一 34 1283024 現改變的狀況下,關閉控制配方區域視窗^ 一控制配方領航員(Navigator)面板係顯示在控制配方螢幕之 左側上,控制配方係與各個配方範圍相關。雙層文件夾(模組與配 方範圍)係以一樹狀結構顯示。一使用者可藉由點選樹狀表與任何 下端操作鈕上之控制配方名稱來操作控制配方。一使用者可藉由 點選樹狀表與任何下端操作鈕上之配方範圍名稱來操作配方範 圍。 例如圖7所示,藉由使用一控制配方螢幕,·一使用者可執行 二控制配方組態、檢視一既存的控制配方、建立一新的控制配方、 複製一既存的控制配方、編輯一既存的控制配方、以及刪除一 存的控制配方。 μ 圖8顯示依據本發明之一實施例之一控制策略螢幕之一例示 視圖。控制桌略包含待匹配之系統配方與其他情況匹配基準。控 制策略亦包含數個包括選擇待執行之控制模型所需要的資訊之^ 立控制計畫。一控制策略螢幕可令使用者下載具有控制室旗標之 系統配方或以傳輸路徑手動地輸入系統配方名稱。又,用 選擇地建立某些情況匹配基準。 一控制策略螢幕可包含一些組態項目。表u顯示控制策略 幕上之某些組態項目之例示視圖。 表11 控制策略螢幕特徽 說明 略名稱 控制策略說明 特徵Description — Cell Edit Counter Controls the recipe name. When selecting a new or copy button, the user must enter a unique control recipe name. When the edit button is clicked, the user cannot change the control recipe name. Retain the number of inputs, for example, the space, the amount 矣gjgminal), and the name of the default to control the recipe description GUI calculation variable phase setting input. This is a read-only chart. Figure 8 shows an example of some of the display table items on the control recipe screen. Description of the item ~'' 1 Variable (unit) [variables (units within η have unit control variables. Read only shows the group) State setting Lower limit The lower limit of the tool recipe variable. The value must be capped by the Upper tool recipe recipe variable. The value must be determined by a Description control variable from the recipe range configuration setting. 33 !283 〇24 ------ Step 1-24 (Steps 1 - 24) Control Recipe Display Control Recipe ^ 9 Guarantee 1 ί Procedures Step 1_24 Controls the light setting. The number is below 11. If the control variable is time, Use the At least - check box, for example - the Dump check box. Table 9 Illustrative views of some checkbox items on the screen. Diamond Checkbox | Marquee ~~--- Protection GUI k Check whether the input value of the variable is within the respective lower and upper limits: if they are within the limit, store these settings. If not, prompt the user to change these settings. In the control plan 昼^ If you do not select protection and save these, the control will be removed from all control recipes. The control formula can be stored only when no protection is selected. In addition, the control table 10 displays the control table. (4) An illustration of some of the button items on the screen that can be included in the “Finger” menu. Description of the button button for the recipe button----------- Clear Clear all the step settings. Open a message Sl 〇Ok (confirm) before clearing the settings. Save the recipe to DB and set the screen time. You cannot edit the recipe range configuration. If a duplicate recipe range name is found, open a message box window. Save) Save the recipe consumption to DB. The recipe range information can be edited. If a duplicate recipe range name is found, then the message will be turned off. 0 0 34 3483024 Now change the status, close the control recipe area window ^ A control formula Navigator panel is displayed on the left side of the control recipe screen, and the control recipe is related to each recipe range. Double-layer folders (modules and recipe ranges) are A tree structure is displayed. A user can operate the control recipe by clicking on the tree table and the name of the control recipe on any lower operation button. A user can click on the tree table and the formula on any lower operation button. Range name to operate the recipe range. For example, as shown in Figure 7, by using a control recipe screen, a user can perform two control recipe configurations, view an existing control recipe, create a new control recipe, and copy an existing one. Control recipes, edit an existing control recipe, and delete a saved control recipe. Figure 8 shows an exemplary view of one of the control strategy screens in accordance with one embodiment of the present invention. The control table slightly contains the system recipes to be matched and other criteria to match the benchmark. The control strategy also includes several control plans that include the information needed to select the control model to be executed. A control strategy screen allows the user to download a system recipe with a control room flag or manually enter a system recipe name with a transfer path. Again, a selection match criterion is established selectively. A control strategy screen can contain some configuration items. Table u shows an illustrative view of some of the configuration items on the control strategy screen. Table 11 Control Strategy Screen Emblem Description Name Description Control Strategy Description Features

Name(名稱) Description(說明)Name Description

Enabled(啟動) 啟動控制策略Enabled Start Control Policy

Protection(保護) System Recipe (系統配方) 空制策略 ϊϊϊϋϋ以從Telius下載系 匕。系統配方名稱可以是直接的名稱。系統配 电g配情況系用以觸 35 1283024 表12 情況 控制策略 說明 --- 董鍵1策略。 ^s'~S--1 Select選擇 埠選擇,然 Transfer Route (傳輸路徑) --——配方下盡满食 依據選擇的系統 Show(顯示) 根據模組節點圖顯示一〜— Module(模組) —-j-=-口 d导救ί跋抑Λ =ϊ容室按鈕時’容室圖顏色 丝粉紅色。 Metrology Data Failure (量測資料失敗) 從下述選項當巾麵-個:仙 力:工晶圓或遵循工具參數設定。參考關於 ift^之動作。 J Select Failure (選擇失敗) 從下述選項當中選擇一個:使用 ^Ξϋ或遵循工具參數設定。 Tool Pause on Failure(在失敗時 工具暫停) 選擇ΡΜ暫停或系統暫停 一 ~ Wafer Contexts (晶圓情況) _ 一 T7L· rix Tflv 顯示晶圓情況。 略螢幕上之某些額外組態項目之例示視 圖 批次識別碼Protection System Recipe Air System ϊϊϊϋϋ to download the system from Telius. The system recipe name can be a direct name. System power supply g with the situation is used to touch 35 1283024 Table 12 situation Control strategy Description --- Dong key 1 strategy. ^s'~S--1 Select selects the selection, but the Transfer Route is the system that is selected according to the formula. (Show) According to the module node diagram, a module is displayed. ) —-j-=-口d guide ί跋 Λ Λ = When the room button is pressed, the room color is pink. Metrology Data Failure From the following options when the towel-a: Xianli: Wafer or follow the tool parameter settings. Refer to the action on ift^. J Select Failure Select one of the following options: Use ^Ξϋ or follow the tool parameter settings. Tool Pause on Failure Select ΡΜ Pause or System Pause ~ Wafer Contexts _ A T7L· rix Tflv Displays the wafer condition. An illustration of some of the additional configuration items on the screen. Batch ID

LotID ⑻ CJID ⑻ PJID(s)LotID (8) CJID (8) PJID(s)

Cassette ID⑻Cassette ID(8)

Carrier ID(s)Carrier ID(s)

Slot(s) 碼 插槽數目Slot(s) code Number of slots

Wafer Type(s) 36 1283024Wafer Type(s) 36 1283024

(晶圓型式) Scribed Wafer ID⑻ (晝線晶圓識別碼) ----------- Substrate ID(s) (基板識別碼) ~~~ -~----- Wafer ID ⑻ (晶圓識別碼) Start Time (開始時間) Start Time ---~~__ (開始時間) 士 h林,她在1丨繁wiL 表13 控制策略按紐 按鈕 說明 —- OK(確認) 將控制配方儲存至資料庫並將螢幕設定成檢視模 式。於此時’無法編輯控制策略組態。如果發現一 名稱,則開啟一訊息盒視窗。 Save(儲存) 將控制策略儲存至資料庫。控制策略資訊係可編輯 的。如果發現一重複的控制策略名稱,則開啟一訊 息盒視窗。 Cancel(删除) 在不改變的狀況下,關閉摊制第略區逾視窑。 又’,制策略螢幕可包含一些額外選擇項目,例如按鈕項目。 表14顯不控制策略螢幕上之某些額外按鈕項目之例示視圖。 表1 j_按鈕 按紐 說明 Close(關閉) 關閉控制策略螢幕並回至批次(Run to Run)控制器 37 1283024 ------ m · —-π New(新的) 新的控制策略。輪入柢制苹^~ Copy(複製) k擇^制策略與點選Copy。預設狀態下,所複製的 _查盤保護且控制策略名稱係為空白。 Edit(編輯) --------_— Delete(删除) 使,者檢視控制策略並點選” Edit ”以編輯既存的控 制策略组態。在編輯之前不選擇保護。一曰關閉 里二就可編輯組態。 ’、 使用者檢視控制策略並點選Delete以刪除一既存的 控制策略。如果控制策略係被保護,則刪除按鈕 沒有作用的。 Move(移動) 使用者檢視控制策略並 ,定目標文件爽無置。鮮控姆略可被移動至 ,擬文件夾。如果模擬控制策略缺少與製程工具之 系統配方同步資訊,則它們無法被移動至標準&amp;件 夾。 Test(測試) fJs式組態按鈕。點選 試控制策略_。它只有在R2R鋪縣連接至工 具時有作用。 …μ π叫低你錄貝不在徑制策略螢幕之左 制ί兩麵式之文件^鮮與概。鮮型式文件失包含 Γη有ίΐ工ί之鮮控制策略(配置為正常批:欠(run t0訓)整合控 ^包含觀控織略。每麵擬㈣策略係與 方;數。配V變“:。入基 模擬控制策略,但只有-個標準型計書 Γ執行。標準控織略可從標準文件夾被移動i ίϊίϊί二ΐ擬控制策略可被移動至—標準文件夾,猶如對 】摇二毐且古糸具被下載—樣。保護的控制策略在控制策略 名稱方邊具有H只有賴的控織略可被執行。 38 1283024 一允例ΐ圖8所示,藉由使用一控制策略螢幕.,一使用者可執行 了^制策略組態、檢視一既存的控制策略、建立一新的控制策略、 ,製一既存的控制策略、編輯一既存的控制策略、以及刪除一既 存的控制策略。 圖9A_9C顯示依據本發明之一實施例之控制計晝螢幕之例示 視圖。這些螢幕可令使用者配置整合控制資訊以供製程工具使 ^二輸士控制製程與控制失敗動作資訊與控制演算法資訊,而在 ,皁的箱表中,一使用者可輸入與每個控制配方相關的修整蝕刻 買用以裝箱。(Wafer type) Scribed Wafer ID (8) (昼 wafer identification code) ----------- Substrate ID(s) (Substrate ID) ~~~ -~----- Wafer ID (8) (Wafer Identification Code) Start Time Start Time ---~~__ (Start Time) Shih Lin, she is in 1丨wiL Table 13 Control Strategy Button Description - OK (OK) will control The recipe is saved to the database and the screen is set to view mode. At this time, the control strategy configuration could not be edited. If a name is found, a message box window opens. Save Saves the control strategy to the database. Control strategy information is editable. If a duplicate control policy name is found, a message box window opens. Cancel Close the kiln over the stalled area without change. Also, the policy screen can contain additional options, such as button items. Table 14 shows an illustrative view of some of the additional button items on the policy screen. Table 1 j_ button button Description Close Closes the control strategy screen and returns to the batch (Run to Run) controller 37 1283024 ------ m · — π New (new) New control strategy . Turn in the 苹 苹 ^ ^ Copy (copy) k select ^ system strategy and click Copy. In the default state, the copied _ check disk protection and the control policy name are blank. Edit --------_— Delete Lets you view the control policy and click on “Edit” to edit the existing control policy configuration. Do not choose protection before editing. The configuration can be edited as soon as the second is closed. ‘, the user views the control policy and clicks Delete to delete an existing control policy. If the control policy is protected, the delete button has no effect. Move The user views the control policy and sets the target file to nothing. Fresh control Mulberry can be moved to the proposed folder. If the analog control strategy lacks synchronization with the system recipes of the process tools, they cannot be moved to the Standard &amp; folder. Test fJs configuration button. Click to test the control strategy _. It only works when R2R is connected to the tool. ...μ π is called low. You are not on the left side of the track strategy screen. The fresh type file is missing the Γ 有 有 ΐ ΐ 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜 鲜:. Into the basic simulation control strategy, but only - a standard type of book to execute. Standard control weaving can be moved from the standard folder i ϊ ϊ ϊ i i 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制 控制Secondly, the ancient cookware is downloaded. The control strategy of the protection has the control of the H-only control on the side of the control strategy. 38 1283024 A case is shown in Figure 8, by using a control strategy. Screen. A user can perform a policy configuration, view an existing control strategy, establish a new control strategy, create an existing control strategy, edit an existing control strategy, and delete an existing control. Figure 9A-9C shows an exemplary view of a control meter screen in accordance with an embodiment of the present invention. These screens allow the user to configure integrated control information for the process tool to control the process and control failure actions. Algorithm information system, and in, soap box table, the user can input a trim etch recipe associated with each control to buy boxed.

1圖fA中,一整合標籤頁係依據本發明之一實施例而顯示。 控制計晝榮幕可包含—些組態項目。表ls顯示控制計晝榮幕 上之某些組態項目之例示視圖。 特徵 說明 Name(名稱) 控制計晝名耩。 Description (說明) 控制計晝說明。 Protection (保護) 當選擇工保護並點選OK或Save時,軟體邏輯檢 查此組態。如果此組態失敗,則開啟敘述失敗的 原因之一汛息盒視窗。因為一次只有一個標準控 制计晝可目與純配方§&amp;合之情況而被觸發,所 3軟體邏輯K執行錄此紅上端之控制計晝。 組態。 System Recipe (系統配方) Module(模組) Nominal Rceipe (額定配方) 39 1283024In Fig. fA, an integrated tab page is shown in accordance with an embodiment of the present invention. The control plan can contain some configuration items. Table ls shows an illustrative view of some of the configuration items on the control screen. Feature Description Name Controls the name of the meter. Description Control instructions. Protection When the worker protection is selected and OK or Save is selected, the software logic checks this configuration. If this configuration fails, one of the reasons why the statement failed is turned on. Since only one standard control program can be triggered at a time with the pure formula § &amp; the software logic K performs the control of the upper red end. configuration. System Recipe Module Nominal Rceipe (Rated Formula) 39 1283024

Data checkbox (資料複選框) Target(目標) 使用者輸入具有公差之目標CD值。具有量測的 初始控制CD平均值之輸入目標CD係用以計算 修整餘刻量以供控制配方選擇用。如果選擇了保 護,則輸入目標CD公差係與輸入在一演算法資 畫修整蝕刻量作比軔〇 、 ' ' — -------~_ Target Calculation t = f(d,o) (目標計鼻t= f(d,〇)) Source # (來源#) -— Model Selection Table (模型選擇表) 1 一 ·| 丨 —- 表l6顯不控制计晝螢幕上之某些額外按蝴目之例示視圖。 &gt; 16 控制額外按鈕 按鈕 說明 幕 閉控制計晝螢幕並回到批次(Run to Run)控制器榮 建立一新的控制計晝。輸入控制計晝名稱與整合資訊 合製程工具,控制CD資訊與控制錯誤動作,而 ,算法資訊用以在它可受到保護並儲存之前將蝕 差麗產!每個控制配方。Data checkbox Target The user enters the target CD value with tolerance. The input target CD with the measured initial control CD average is used to calculate the trim amount for control recipe selection. If protection is selected, the input target CD tolerance is compared to the input in an algorithmic trimming etch, ' ' — — — _ Target Calculation t = f(d,o) ( Target meter nose t= f(d,〇)) Source # (Source#) -—— Model Selection Table 1 1|| 丨-- Table l6 does not control some extra buttons on the screen An exemplary view of the purpose. &gt; 16 Control Extra Buttons Button Description Closes the control screen and returns to the Run to Run controller to create a new control meter. Input control meter name and integration information combined with process tools to control CD information and control error actions, while algorithmic information can be used to protect the environment before it can be protected and stored! Each control recipe.

New(新的)New (new)

Copy(複製)選擇,制計copy。預^ ———;—保護且控制計晝名^广 |Edit(編輯並點選”腿 1283024 计晝組態。在編輯之前不選擇保 就可編輯組態。 旦關閉保護Copy (copy) selection, system copy. Pre-^————;-Protect and control the name of the meter ^Edit | Edit (Edit and click) leg 1283024 昼 configuration. Edit the configuration without editing before editing.

Delete(刪除)Delete

f用者檢視控制 用的 ,者檢視控制計晝並 ΐΐ?文Λ1與位置。標準控制計畫可被移動至= ^件夾。如果_控斷少婦程 = 翅越^牛夾 =式組態按鈕。_點縣選擇財量測資料以 試控制計晝域。它对在―種無連接狀態下有作 ^ mm II7Γ: * 準型式文件纽含具有製程玉具之標準控制^ 二二T)整合控制)。模擬型式文件夾包含模擬控制計書。對模 ;=,,,製虹具上之微控概定是碰i—動是= 型。所、登^^^及域腹)接收和處理量測資料並選擇控麵 二;;:制配方係被記入而非送至製程工具。多重 ,制計晝顯示項目可包含控制計畫名稱之—名稱攔位;控制 f旦説明之一說明攔位;以及一保護盒。當不選擇保護時,可編 車耳此組態。 、 μ #在控制計畫組態螢幕之中心,有三個標籤··整合標籤、控制 私鐵與演算法標籤。 ^ 些按叙亦被顯示,其包含:一確認(ΟΚ)按紐、一儲存(Save) ^紐、一刪除(Cancel)按紐、一關閉(Close)按姐、一開新槽案(New) 才^紐、一複製(C〇Py)按鈕、一編輯(Edit)按姐、以及一刪除(Delete) 按紐’用以建立、刪除、檢視以及編輯控制配方項目。 系統配方名稱攔位與相關的選擇按紐提供用以從一工具 (Telius)下載系統配方並顯示它們之一手段。又,一使用者可直接 1283024 書系=方藉由匹配情況系統配方 來自此種視窗’4二;=開:載:=窗,與 的系統配方之表。 …、傻選擇來自可得到 制室在__系統配方中之R2R控 在所遠擇的系統配方中之R2R控制 二襴包含 名稱。路徑攔位依據所選擇的系統配方製程!^ 順序按鈕顯示控制室。 。丁糸、、先配方路徑。谷至 控制定ΓΓ係包含在來自卫具之系統配方中,則 計晝將與模擬文件夾相關 2 H'w工制 中選擇容錄敏控^。使財賴糾在容室順序節點圖 於圖9B中,一控制標籤頁係依據 _ 舉例而言,在蝴__有三=不關 於量:_纽_、_於配方CD、關 而受包:ίΊ空制CD搁位’其可藉由使用一 cd表盒 表選擇二丨; '而二一使用者可參考Timbre 0DP組態而從此 表CD ’亚可輸人_所選擇的㈣CD之說明。 飧雷浐擇鋪CD計算方法(步驟平均或晶®平均)之無 ft紐。1測步驟谢立顯示IM量測步驟。如錢擇了步驟平均 :ίΪί紐,則使用者必須指定服量測步驟。如果選擇了晶圓平 均無,電触,魏狀量測步騎纽域於”识㈣ 曰仰”赋。在—制者選擇量測步驟之後,該制者可輸入 關於置測步驟之說明。 目標CD欄位提供給一使用者輸入具有公差之目標CD值之一 ίίί。具有量測的初始控制CD平均值之輸入目標CD係用以計算 $正蝕刻量以供控制配方選擇用。如果選擇了保護,則輸入目標 CD公差係與輸入在演算法標籤上之所有修整量作比較。 42 1283024 可選敗產生或變數設賴擇失敗產生’則使用者 邏輯選項:u使用卫具製程配方(默配方)。軟體 工曰圓(办撕古、D 工具,且製程工具使用工具製程配方。2)不加 具二:圓進相關的空配方資訊給製程工 控制(無(None))開至而不破加工。3)停止批次(*) 干。—演算法標籤1係依據本發明之—實施例而顯 Ϊ配簡單的難算法可以是基於修整侧量之一控 r mirZr\ '。如果選擇的控制配方設定係與製程工具上之製 =它相關制變數之名稱係預設為,默,',其可導致將修整 的之相同卫具製程配方之使用。 上有兩個她。箱按岸nbutton)係用以開啟 G)以供控制配方與修整量之聯結之組態用,而清 除按^(flear button)可被使用以清除箱表設定。 具有三個部分。箱表邊界輸人允許使肖者^ 咖慮器允許使用者檢視所有與選擇的配方範 的保制配方。使用者必須點選控制配方丨與控制配方2 ΪΪΓ1,制配方選擇視窗。在為兩次造訪而從此表選擇之 ί 1Τ輸入母個顯不的控制配方之修整侧量。軟體邏輯計算出 兩次造訪之總蝕刻量。 田參Ξΐϊϊ檢視器係位於發幕之下端。控制配方檢視器允許使 控制配方資訊與說明。控制配方之說義基於從控制配 訊r顯示。當一使用者選擇控制配方1或控制配 方2之儲存格時’控伽方_職開啟並允許使用者選擇控 配方。預設狀態下,名稱,,額與,,空,,出現最先於兩個儲存格。於 空意指不處理這個選擇的造冑。如果一新的控制配方被附加 在具有相_配方細之控恤转幕上,舰时可 配方儲存格以控概方選擇視t。紐,使^ 方選擇視窗中之新的控制配方。 、狐制配 43 Ϊ283024 稱,▲彳目表組態’軟體邏輯相繼地依照預設給予與箱名 出各修整侧量輸人之數目鋪表之修整侧量邊界計算 ^ =邊界°最^’箱表之下與上邊界顯示在演算法標籤頁上, 位_ 2目係箱表+。箱_)攔位顯示箱名稱;下(L_)攔 攔界,上(UPPei:)攔位顯示箱之上邊界;侧(Etch) t,„、頁不總修整侧量;_ __攔位顯示第—造訪修整餘 /里’侧2(臉2)攔位顯示第二造訪修整侧量;控制配方 ontrojRecnpei)攔位顯示第—造訪控伽方名稱;而控制配方 2(C0ntr〇l Recipe 2)/闌位顯示第二造訪控制配方名稱。 於圖11中」係顯示依據本發明之—實施例之—控制狀態榮幕 =不視®。這健幕可令使用者檢視所選獅微 控制晶圓狀態資訊。 !一 =狀態螢幕可包含-些組態項目。表17顯示控制狀態榮幕 上之某些組態項目之例示視圖。f User view control, use the control plan and ΐΐ Λ Λ 1 and location. The standard control plan can be moved to the =^ folder. If _ control young woman program = wing more ^ cattle folder = type configuration button. _ Point County selects financial measurement data to test the control area. It has ^ mm II7Γ in the “unconnected state”: * The standard type file contains the standard control of the process jade ^ 22 T) integrated control). The analog type folder contains an analog control book. For the modulo ;=,,, the micro-control on the visor is to be touched i-moving is = type. Receiving and processing measurement data and selecting control surface 2;;: The recipe is recorded instead of being sent to the process tool. Multiple, the program 昼 display item can include the control plan name - name block; control fdan description one of the block; and a protective box. This configuration can be programmed when the protection is not selected. , μ # In the center of the control plan configuration screen, there are three tags · integrated tags, control private iron and algorithm tags. ^ Some of the descriptions are also displayed, which include: a confirmation (ΟΚ) button, a save (Save) ^ button, a delete button (Cancel) button, a close (Close) press sister, a new slot case (New ) The button, the Edit button, and the Delete button are used to create, delete, view, and edit control recipe items. The System Recipe Name Block and associated selection buttons provide a means to download system recipes from a tool (Telius) and display them. Moreover, a user can directly access the system recipe from the window of the window '4 2; = open: load: = window, and the system formula of the system. ..., silly selection from the R2R control available in the __ system recipe. The R2R control in the remotely selected system recipe contains the name. The path block is based on the selected system recipe process! ^ The sequence button displays the control room. . Ding Wei, first formula path. The valley-to-control control system is included in the system recipe from the aids, and the program will be associated with the simulation folder. 2 H'w system selects the recording sensitivity control^. In order to make the money in the room sequential node diagram in Figure 9B, a control tab page is based on _ for example, in the butterfly __ there are three = no amount: _ _ _, _ in the recipe CD, off and accept: Ί Ί CD CD ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' '飧 雷浐 choose the CD calculation method (step average or crystal averaging) without ft nu. 1 test step Xie Li shows the IM measurement step. If the money chooses the step average: ίΪί, the user must specify the service measurement step. If you choose the average wafer no, the electric touch, the Wei-shaped measurement step riding the new field in the "identification (four) 曰 ”" Fu. After the maker selects the measurement step, the manufacturer can enter a description of the placement step. The target CD field provides a user with one of the target CD values with tolerances ίίί. The input target CD with the measured initial control CD average is used to calculate the positive etch amount for control recipe selection. If protection is selected, the input target CD tolerance is compared to all trims entered on the algorithm label. 42 1283024 Optional failure or variable setting failure to generate 'The user logic option: u use the aid process recipe (default formula). Software work round (Draw the ancient, D tool, and the tool uses the tool recipe recipe. 2) No two: Round the relevant empty formula information to the process control (None) to open without breaking. 3) Stop batch (*) dry. - Algorithm Tag 1 is a simple and difficult algorithm that can be based on the embodiment of the present invention. It can be based on one of the trimming side quantities r mirZr\ '. If the selected control recipe setting is on the process tool and the name of its associated system variable is preset to, default, ', it can result in the use of the same fixture recipe that will be trimmed. There are two of her. The box nbutton is used to open the G) for the configuration of the control recipe and the trim amount, and the clearing press ^ (flear button) can be used to clear the box table setting. Has three parts. The box table boundary input allows the user to view all the recipes for the selected formula. The user must click on the Control Recipe and Control Recipe 2 ΪΪΓ1 to create a Recipe Selection window. In the case of two visits, select the one from the table and enter the trimming amount of the parent control formula. The software logic calculates the total amount of etching for the two visits. The Tianshen Ξΐϊϊ Ξΐϊϊ Ξΐϊϊ is located at the bottom of the screen. The Control Recipe Viewer allows you to control recipe information and descriptions. The meaning of the control recipe is based on the display from the control communication r. When a user chooses to control the recipe 1 or control the storage of the recipe 2, the control gamma is enabled and allows the user to select the control recipe. In the default state, the name, the amount, the, and the empty, appear first in the two cells. Empty means not to deal with the choice of this choice. If a new control formula is attached to the control curtain with the phase _ recipe, the ship can be configured to control the selection. New, let ^ select the new control recipe in the window.狐 配 43 Ϊ Ϊ Ϊ Ϊ Ϊ Ϊ Ϊ 43 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳 彳Below the box table and the upper border are displayed on the algorithm tab page, bit _ 2 mesh box table +. Box _) block display box name; lower (L_) block, upper (UPPei:) block display box upper border; side (Etch) t, „, page does not always trim side amount; _ __ block Display the first visit to the repair/inside 'side 2 (face 2) block display the second visit trimming side amount; the control formula ontrojRecnpei) the block display the first visitor control gaze name; and the control formula 2 (C0ntr〇l Recipe 2 The /bit displays the second visit control recipe name. In Figure 11 "shows the control state according to the present invention - the control state honor screen = ignores ®. This screen allows the user to view the selected lion micro control wafer status information. ! A = status screen can contain some configuration items. Table 17 shows an example view of some of the configuration items on the Control Status screen.

Nominal Recipe - 2nd (額定配方-2nd) Target CD with tolerance(具有公差之 目標CD) 第二造訪容室之控制基本參考製程配方 表口 控制狀態螢n徽 值。單位為毫微米Nominal Recipe - 2nd (Rated Formula - 2nd) Target CD with tolerance (Target CD with tolerance) Control of the second access chamber Basic reference process recipe Table mouth Control status. Unit is nanometer

Transfer Route (傳輸路徑) 系統配方路徑Transfer Route System Recipe Path

Chamber sequence 用的量測資料 44 1283024Measurement data for Chamber sequence 44 1283024

。表18顯示控制狀態 表18_額外控制狀癌榮幕特激 顯示項目 ——---- 說明 * —1 —丨 1^^(模式) 擬或測試。_ CJID 丝里王碼 _ 製程工作識別石焉 PJID Slot Π)(插槽識別碼) Wafer ID(晶圓識別碼) 晶圓識別碼遵猶顯示優先順序來顯示。如果 存在有晝線晶圓識別碼,則顯示。如果沒 jliMM示來自主機之某柘異岡識刮石e 〇 Init· CD(初始 CD) 暴控制CD量測平均之初始cd Kch(|虫刻) 配置在控制計書中之總蝕刻量。 Etch 1(蝕刻 1) 配置在控制計晝中之第一控制造訪或容室 之餘刻量。 Etch 2(蝕刻 2) 配置在控制計晝中之第二控制造訪或容室 之餘刻量。 Control Recipe 1 (控制配方1) 控制變數設定第一控制造訪或容室之名稱。 Control Recipe 2 (控制配方2) 控制變數設定第二控制造訪或容室之名稱。 Result(結果) 控制晶圓之結果。可以有四個數值。 •選擇的-成功選擇的控制配方 •選擇錯誤-控制配方選擇失敗 •鏈結錯誤-Telius_Ingenio通訊失敗 .一•資料錯誤-量測資料錯誤. Table 18 shows the control status Table 18_ Extra Control Cancer Cancer Screening Display Item ——---- Description * —1 —丨 1^^ (Mode) Proposed or tested. _ CJID 丝里王码 _ Process identification sarcophagus PJID Slot Π) (slot identification code) Wafer ID (wafer identification code) The wafer identification code is displayed in order of priority. Displayed if there is a twisted wafer identification code. If there is no jliMM, it means that the etched stone from the host is e 〇 Init·CD (initial CD) The initial cd Kch of the CD control average is the total amount of etching in the control book. Etch 1 (etch 1) The amount of time required to configure the first control visit or chamber in the control meter. Etch 2 The amount of time remaining in the second control visit or chamber of the control meter. Control Recipe 1 Controls the name of the first control visit or chamber. Control Recipe 2 Controls the name of the second control visit or chamber. Result The result of controlling the wafer. There can be four values. • Selected - Successfully selected control recipe • Choice error - Control recipe selection failed • Link error - Telius_Ingenio communication failed. • Data error - measurement data error

45 1283024 此外,控制狀態螢幕可包含一些選擇項目.,例如按紐項目 表19顯不控制狀悲榮幕上之某些按紐項目之例示視圖。 表19 控制狀態螢幕按鈕 按紐 說明 Close(關閉) 從控制狀態螢幕切換成批次(Run t0 Run)控制器^~ 幕。 Select(選擇) 開啟控制狀態顯示選擇視窗。 ~ 顯示的實施例中,一 COR模組、一 ΡΗΤ模組以及一 〇Dp模組係 顯示於一個群組中。 子面板可被使用以顯示每個製程模組之資料。關於目前在一 製程模組中之晶圓之資訊可被顯示在腿、RunID以及Plan搁位 ^。舉例而言,PM可以是製程模組名稱;RunID可以是目前晶 圓之配方之識別碼;而Plan可以是目前晶圓上所執 計晝之名稱。 、τ队术 例如圖12所示’一使用者可檢視一狀態榮幕以確4呆一 c〇r ΐί、一 PHT模組及/或一0DP模組係使用正確的資料收集計晝。 舉例而言,一使用者可確認適當的量測資料正被收集。 一 =13中’係依據本發明之—實施例顯示—組態 ^的貫施例中,係顯示—C0R模組、—pHT模組以及一 〇Dp 貝示與每健組相關的感測器與維修計數器。此外, 模組相關的資料收集計晝 ==組而顯示。一使用者可藉由使用分析計畫與= 能容室可在安㈣統_被配置,且容室组 ί 態榮幕容易取得轉1螢幕而被改變。ίΐ而 於圖Η巾’係依據本發明之—實蘭齡—域螢幕。在所 46 1283024 列中」係顯示一 C0R模組、一 PHT模組以及一0Dp 介樹顯示與每個模組相關的感測器與維修計數器。此外, 能模組相關的資料收集計晝與策略。又,模組暫停組 個模組賴示。—使用者可藉由制分析計晝與策略來 配置暫停動作。 命八i t次(1111^〇_11111)控制計晝匹配之情況可包含資料收集計晝 興刀析朿略可利用的所有選擇。 ._ GUI可被提供以設定控制計晝情況匹配。控制計晝情況定 義,於大於正常的安全層級的使用者可被允許。45 1283024 In addition, the Control Status screen can contain a number of selection items. For example, Button Items Table 19 shows an example view of some of the button items on the screen. Table 19 Control Status Screen Buttons Button Description Close Switch from Control Status to Run t0 Run Controller. Select Opens the control status display selection window. ~ In the embodiment shown, a COR module, a UI module, and a Dp module are displayed in a group. Sub-panels can be used to display the data for each process module. Information about the wafers currently in a process module can be displayed on the legs, RunID, and Plane positions. For example, the PM can be the name of the process module; the RunID can be the identification code of the current crystal formulation; and the Plan can be the name of the current implementation on the wafer. For example, as shown in Figure 12, a user can view a state of the glory to ensure that 4 stays in a c〇r ΐί, a PHT module and/or an 0DP module using the correct data collection plan. For example, a user can confirm that appropriate measurement data is being collected. In the embodiment of the present invention, the display unit is shown in the embodiment of the present invention. The system displays the C0R module, the pHT module, and a Dp display. With the maintenance counter. In addition, the module-related data collection is displayed in the == group. A user can be changed by using the analysis plan and the = capacity room can be configured in the system, and the room group is easily changed to the screen. ΐ ΐ 于 Η ’ ’ 依据 依据 依据 依据 依据 依据 依据 依据 依据 依据 依据 依据 依据 依据 依据 依据 依据 依据In the list of 46 1283024, a C0R module, a PHT module, and a 0Dp tree are displayed to display the sensor and maintenance counters associated with each module. In addition, modules can be used to collect data and strategies. In addition, the module pauses the group modules. - The user can configure the pause action by analyzing the plan and strategy. The life of the eight times (1111^〇_11111) control program matching can include data collection and planning. The ._ GUI can be provided to set the control to match the situation. Control plan definitions are allowed for users above the normal security level.

呈介^ t制ί旦般係關於一製程模組與製程配方組合。因為工 二允咩多重系統配方包含相同的製程配方,幻义可允許多重控 朿略共用一控制計晝。 々不同的製程模組配方可能有不同的製程模組配方極限。所以 母,控制4晝需要能設定制於配方修改之配方限制,而配方修 改係藉由R2R控制器而待被傳送至工具。 控制計晝可指定待使用之一控制模型之最小與最大範圍。控 ,模組範圍可能重疊。如果沒機人最小及/或最大範圍,則遺漏 範圍不會受限制。 使1 一 R2R控制器之一個方法需要當一系統配方已被建立在It is a combination of a process module and a process recipe. Because the second system allows multiple system recipes to contain the same process recipe, the illusion allows multiple control strategies to share a single control plan. 々 Different process module recipes may have different process module recipe limits. Therefore, the parent, control 4 needs to be able to set the recipe limit for the recipe modification, and the recipe modification is to be transmitted to the tool by the R2R controller. The control plan specifies the minimum and maximum range of one of the control models to be used. Control, module range may overlap. If there is no minimum and/or maximum range, the scope of the omission will not be limited. One way to make a R2R controller is when a system recipe has been established

工具上時二連結至一工具(Telius)配方之一控制策略之創造。使用 者可輸入每個模組之資料收集計晝與分析計晝。使用者可輸入待 被控制之特定容室之—控制計晝(使肋種容室㈣、分析演算 法)。 COR、PHT、〇DP以及緩衝容室之模組型式可被儲存於資料 庫中。因此,一使用者可配置COR、PHT、〇Dp與緩衝容室在安 裝之後的模組實例。舉例而言,可使用屬於系統之一部分之模組 實例組態螢幕。此外,可藉由使用資料管理者螢幕為C〇R、pHT、 〇DP,緩衝容室建立策略與計晝。舉例而言,一使用者可在這些 模組實例被設在模組實例螢幕上時,建立C0R、ΡΉΤ、〇Dp與^ 47 1283024 衝容室之資料收集策略與分析策略。C〇R、ΡΗΤ、ODP與緩衝容 室亦可利用圖表螢幕。 資料管理系統收集追蹤記錄檔案並處理在COR與PHT容室 上,成的記錄檔案。根據DC計晝選擇之追蹤記錄資料將被儲存 於資料庫中;使用者可使用根據DC計晝選擇之資料以供分析計 晝用;使用者可使用根據DC計晝選擇之資料用以製表。此外, GUI螢幕可被使用以於運作時顯示關於c〇r與pHT製程之必要 資訊。使用者可使用模組暫停特徵以暫停一 c〇R&amp;/或PHT容室, 如果它被設定的話。The tool is linked to one of the tools (Telius) recipes to control the creation of the strategy. The user can enter data collection and analysis for each module. The user can enter the control unit (the rib chamber (4), analytical algorithm) for the specific chamber to be controlled. The modular versions of COR, PHT, 〇DP, and buffer chambers can be stored in the database. Therefore, a user can configure the instance of the module after the COR, PHT, 〇Dp, and buffer chamber are installed. For example, you can configure the screen using a module instance that is part of the system. In addition, strategies and calculations can be established for the buffer chamber by using the data manager screen for C〇R, pHT, 〇DP. For example, a user can establish a data collection strategy and analysis strategy for the C0R, ΡΉΤ, 〇Dp, and ^47 1283024 rush chambers when these module instances are placed on the module instance screen. Chart screens can also be used for C〇R, ΡΗΤ, ODP and buffer rooms. The data management system collects trace log files and processes the records in the COR and PHT rooms. The tracking record data selected according to the DC program will be stored in the database; the user can use the data selected according to the DC meter for analysis; the user can use the data selected according to the DC meter for tabulation . In addition, a GUI screen can be used to display the necessary information about the c〇r and pHT processes during operation. The user can use the module pause feature to pause a c〇R&amp;/ or PHT room if it is set.

TL控制器可在它偵測到一 c〇R容室參數之SPC警報器時或 在它偵測到一 PHT容室參數之SPC警報器時執行一模組暫停。模 組暫停可藉由/吏用各個容室之分析策略與分析計晝而被配置。、 圖15顯示依據本發明之一實施例之一加工系統之概要剖面 圖。在所顯示的實施例中,係提供用以執行一基板之化學處理與 熱處理之一加工系統12〇〇。加工系統12〇〇包含一熱處理系統121〇 與連接至熱處理系統121〇之一化學處理系統122〇。熱處理系統 1210包含可受溫度控制的一熱處理容室1211。化學處理系統 包含可受溫度控制的一化學處理容室1221。熱處理容室1211盥化 f處理容室1221可藉由使用一絕熱組件1230而彼此絕熱,且、可 藉由使用一閘閥組件1296而彼此真空絕緣,這些以下會更詳細說 日月。 、、口 如圖Μ與16所示,化學處理系統122〇更包含:一溫控基 ^撐部麗’配置以實質上與化學處理容室咖絕熱並配置以支 撐一基板1242 ; —真空抽氣系統125〇 ’連接至化學處理容室ΐ22ι =抽空化學處理容室1221 ;以及—氣體分配系統 1260,用以將一 處理氣體導入至化學處理容室1221内之一製程空間1262中。 如圖15與17所示’熱處理系統121〇更包含:一溫控基板夫 容室1211内並配置以實f上與熱處理容 至1211、、、邑熱且配置以支樓—基板㈣,;一真空抽氣系統_以 48 1283024 抽j熱處理容室灿;m板升轉組件·,連接至 理谷至un。升降桿組件129〇可在一支持平面(實 ^ ΙΓΐί)或ΐ!&quot;其間之一傳送平面之間垂直移動基、二牙 1242。熱處理谷至1211可更包含一上部纟且件1284。 此夕卜’縫理容室1211、化學處理容室1221與絕熱组件123〇 ,義二共關口部1294 ’ -基板可經由此共關口部咖被運 达。在加工綱,共關Π部1294可藉由使用_關組件1296 而被密封封閉’以便允許在兩個容室12u、1221中獨立加工。再 ,,可使一輸送開口部1298形成於熱處理容室1211中,以便允 ,基板與-輸送祕交換。舉例而言,—第二絕熱組件1231可被 貫現使熱處理容室1211與m统(未顯示)絕熱。雖然開口 部1298係顯示為熱處理容室1211之一部分,但可使輸送開口部 1298、形成於化學處理容室1221中而非熱處理容室1211中,或可 使輸送開口部1298形成於化學處理容室1221與熱處理容室12u 兩者中。 如圖15與16所示,化學處理系統122〇包含一基板支撐部 1240以及可允許基板1242之熱控制與加工之一基板支撐部組件 1244。基板支撐部124〇與基板支撐部組件ι244可包含一靜電夾 持系統(或機械夾持系統)以便電性地(或機械地)將基板m2夾至 基板支撐部1240。再者,基板支撐部1240可譬如更包含一多區間 溫度控制系統,其可接收熱並傳送熱至一熱交換器系統(未顯示), ,在加熱時,可從熱交換器系統傳送熱。此外,一熱傳輸氣體可 譬如經由一背面氣體系統而被傳送至基板1242之背面,用以改善 基板1242與基板支撐部124〇之間的氣體_間隙之熱導。例如,提 ,至基板1242之背面之熱傳輸氣體可包含例如氦氣、氬氣、氙、 氮^鈍^,例如CF4、QF8、C5F8、C4F6等之處理氣體,或其他例 如氧、氮或氫之氣體。當於上升或降低溫度時要求溫度控制基板 時’可利用這種系統。舉例而言,背面氣體系統可包含例如兩個 區間(中心-邊緣)系統之一多區間氣體分配系統,其中背面氣體間 49 1283024 隙壓力可以在基板1242之中心與邊緣之間獨立改變。在其他實施 例中,多區間溫度控制系統可包含加熱/冷卻元件(例如電阻加熱元 件),或熱電熱器/冷卻器。一種例示的熱電性元件可購自Adva^edThe TL controller can perform a module pause when it detects a SPC alarm for a c〇R chamber parameter or when it detects a SPC alarm for a PHT chamber parameter. Model pauses can be configured by using / analyzing the analysis strategies and analysis of each chamber. Figure 15 is a schematic cross-sectional view showing a processing system in accordance with an embodiment of the present invention. In the embodiment shown, a processing system 12 is provided for performing a chemical treatment and heat treatment of a substrate. The processing system 12A includes a thermal processing system 121A coupled to a chemical processing system 122A coupled to the thermal processing system 121. The heat treatment system 1210 includes a heat treatment chamber 1211 that is temperature controllable. The chemical processing system includes a chemical processing chamber 1221 that is temperature controllable. The heat treatment chamber 1211 deuteration treatment chamber 1221 can be insulated from each other by using a heat insulating member 1230, and can be vacuum insulated from each other by using a gate valve assembly 1296, which will be described in more detail below. As shown in FIG. 16 and 16 , the chemical processing system 122 further includes: a temperature control base configured to substantially adiabatically and chemically dispose of the chemical processing chamber to support a substrate 1242; The gas system 125A' is coupled to the chemical processing chamber ΐ22ι = evacuated chemical processing chamber 1221; and a gas distribution system 1260 for introducing a process gas into one of the process chambers 1262 within the chemical processing chamber 1221. As shown in FIGS. 15 and 17, the heat treatment system 121 further includes: a temperature control substrate inside the chamber 1211 and is disposed on the surface of the heat treatment and heat treatment to 1211, and is arranged to be a branch-substrate (four); A vacuum pumping system _ to 48 1283024 pumping heat treatment chamber can be; m plate up and down components ·, connected to Ligu to un. The lifting rod assembly 129 can vertically move the base and the second teeth 1242 between a support plane (real) or one of the transfer planes. The heat treated valley to 1211 may further comprise an upper jaw and member 1284. Further, the sewing chamber 1211, the chemical processing chamber 1221, and the heat insulating member 123, and the second sealing portion 1294' - the substrate can be transported via the common sealing portion. In the processing section, the cooperating portion 1294 can be hermetically sealed by using the _off assembly 1296 to allow for independent processing in the two chambers 12u, 1221. Further, a transport opening portion 1298 can be formed in the heat treatment chamber 1211 to allow the substrate to be exchanged with the transport. For example, the second thermal insulation component 1231 can be internally insulated to thermally insulate the heat treatment chambers 1211 (not shown). Although the opening portion 1298 is shown as a portion of the heat treatment chamber 1211, the delivery opening portion 1298 may be formed in the chemical processing chamber 1221 instead of the heat treatment chamber 1211, or the delivery opening portion 1298 may be formed in the chemical treatment volume. The chamber 1221 is in both the heat treatment chamber 12u. As shown in Figures 15 and 16, the chemical processing system 122 includes a substrate support 1240 and a substrate support assembly 1244 that permits thermal control and processing of the substrate 1242. The substrate support portion 124 and the substrate support portion assembly ι 244 may include an electrostatic chucking system (or mechanical clamping system) to electrically (or mechanically) sandwich the substrate m2 to the substrate support portion 1240. Further, the substrate support portion 1240 can, for example, further comprise a multi-zone temperature control system that can receive heat and transfer heat to a heat exchanger system (not shown) that can transfer heat from the heat exchanger system upon heating. In addition, a heat transfer gas can be delivered to the back side of the substrate 1242 via a back gas system to improve the thermal conductivity of the gas-gap between the substrate 1242 and the substrate support 124. For example, the heat transfer gas to the back surface of the substrate 1242 may include, for example, helium, argon, helium, nitrogen, a process gas such as CF4, QF8, C5F8, C4F6, or the like, or other such as oxygen, nitrogen or hydrogen. Gas. This system can be utilized when a temperature control substrate is required to raise or lower the temperature. For example, the backside gas system can include a multi-zone gas distribution system, such as one of two zone (center-edge) systems, in which the backside gas 49 1283024 gap pressure can be independently varied between the center and edge of the substrate 1242. In other embodiments, the multi-zone temperature control system can include a heating/cooling element (e.g., a resistive heating element), or a thermoelectric heater/cooler. An exemplary thermoelectric element is available from Adva^ed

Thermoelectric公司,型號叩127]本8 5M (具有72 w之最大埶能 傳遞功率之4〇mm X 40mm X 3·4mm熱電性裝置)取得的一;f固元 件。又,額外加熱/冷卻元件可被設置於化學處理容室1221之容 壁面。Thermoelectric, model 叩127] This 8 5M (4 〇mm X 40mm X 3·4mm thermoelectric device with a maximum power transfer of 72 watts) is a solid component. Further, an additional heating/cooling element can be disposed on the wall of the chemical processing chamber 1221.

基板支撐部1240可包含連接基板支撐部124〇盥化學處理容 室接合組件(未顯示)、一絕緣組件(未1貝示)以及一溫 ,制組件(未顯示)。容室接合與溫度控制組件可譬如是由例如 上呂:不錄鋼、料之-電性與熱料獅所製造。絕緣裝置可链 ===的熱傳導係數之例如石英、氧触、鐵“ 給if支撐部124G可更包含&quot;&quot;絕熱_(未顯示),以便提供- 舉例而言’-絕麵可被填滿 轉可更包含一升降銷組件(未顯示),其能舉起 撑移動基板來回基板支The substrate support portion 1240 can include a connection substrate support portion 124, a chemical processing chamber junction assembly (not shown), an insulation assembly (not shown), and a temperature, assembly (not shown). The chamber junction and temperature control components can be manufactured, for example, from the upper Lu: non-recorded steel, material-electric and hot lions. Insulation device chain === heat transfer coefficient such as quartz, oxygen touch, iron "if if support 124G can contain &quot;&quot;&quot;&quot;insulation_ (not shown) in order to provide - for example, '- The fill-and-turn can further include a lift pin assembly (not shown) that can lift the support substrate and move the substrate back to the base plate

基板支撐ΐ 124G之溫度可藉由使用例如熱電偶(例如Κ 者…2二感測器等)之溫度感測裝置(未顯示)而受到監視。再 ’ ^制⑤可儀溫度量測作為對基板支撐部組件1244之回 便控繼板狀部124G之溫度。糊㈣,可調整-流體 ==度、熱傳輸_重類、熱傳輸氣體壓力、夾ί 電流或電壓、熱電裝置電流或極性等之ί 夕一項,續純基板讀部124G之溫度巾的改變。 19ΚΛ再參考圖15與16 ’化學處理系統122G包含—氣體分配季餘 —實ϋΐ體统(未顯示)可連接至氣體分配系統1260。'於 也|,㈣8所不’用以分配包含至少兩種氣體之處理氣 50 1283024 體之一氣體分配系統1260包含:一氣體分配組件1422,其具有一 個或多個元件1424、1426與1428 ; —第一氣體分配板1430,連 接至氣體分配組件1422並具體形成以連接一第一氣體與化學處理 容室1221之製程空間;以及一第二氣體分配板1432,連接至第一 氣體分配板1430並具體形成以連接一第二氣體與化學處理容室 1221之製程空間。第一氣體分配板1430在連接至氣體分配組件 1422時形成一第一氣體分配充氣腔1440。此外,第二氣體分配板 1432在連接至第一氣體分配板1430時形成一第二氣體分配充氣 腔1442。雖然未顯不’但氣體分配充氣腔1440、1442可包令—個 或多個氣體分配隔板。第二氣體分配板1432更包含:一第一陣列 之一個或多個孔1444,和形成於第一氣體分配板1430内之一陣列 之一個或多個通道1446相連通並疊合;以及一第二陣列之一個或 多個孔1448。與一個或多個通道1446之陣列相關聯的第一陣列之 一個或多個孔1444係具體形成以將第一氣體從第一氣體分配充氣 腔144〇分配至化學處理容室1221之製程空間。第二陣列之一個 或多個孔1448係具體形成以將第二氣體從第二氣體分配充氣腔 1442分配至化學處理容室1221之製程空間。處理氣體可譬如包含 NH3、liF、H2、〇2、CO、C02、Ar、He 等。每個孔 1444、1448 包含直徑與一長度,其中直徑之範圍可從大約〇1 至大約 cm ’而長度之範圍可從大約〇5mm至大約5cm。因為此種配置 之f果,第一氣體與第二氣體係被獨立導入至製程空間,而除了 在‘程空間以外不會互相影響。 庥、禹體I㉚由形成於氣體分配組件1422内之一第一氣體供 顯不)而轉接至第一氣體分配充氣腔1440。此外,第二 形ί於氣體分配組件1422内之一第二氣體供應通道 (未顯不)而输#二氣體分配充驗1442。 k 溫产32二^16,化學處理系統1220更包含維持於-控制 接容室1221。舉例而言一壁面加熱元件1266 土面/皿度控制單元1268,且壁面加熱元件1266可被 51 1283024 具體形成以連接化學處理容室1221。加熱元件可譬如包含例如 鎢、鎳鉻合金、鋁鐵合金、氮化鋁等之電阻式加熱器元件,譬如 燈絲。當電流流經此燈絲時,功率被散發為熱,因此,壁面溫度 控制單元1268可譬如包含一可控制DC電源供應部。舉例而言二 壁面加熱元件1266可包含商業上可從Watlow公司(131〇 Kingsfand Dr” Batavia,IL,60510)取得的至少一 Firer〇d筒夾加熱器。在化學 處理容室1221中亦可採用一冷卻元件。化學處理容室1221之溫 ,可藉由使用例如熱電偶(例如K型熱電偶、pt感測器等)之溫度 感測裝置而受到被監視。再者,一控制器可利用溫度量測作為&amp; 壁面溫度控制單元1268之回饋,以便控制化學處理容室1221 溫度。 · 再參考圖16,化學處理系統1220可更包含可被維持於任何選 擇溫度之一溫控氣體分配系統1260。舉例而言,一氣體分配加熱 兀件1267可連接至一氣體分配系統溫度控制單元1269,且氣體;^ 配加熱元件1267可具體形成以連接氣體分配系統126〇。加熱元件 可,如包含例如鎢、鎳鉻合金、鋁鐵合金、氮化鋁等之電^式加 熱器元件,譬如燈絲。當電流流經此燈絲時,功率被散發為熱, 因此,氣體分配糸統溫度控制單元1269可譬如包含一可控制dc 電源供應部。舉例而言,氣體分配加熱元件1267可包含具有14〇〇 γ (或W/m2 $功率密度)之一雙區間矽酮橡膠加熱器(丨〇麵 · 居)氣體为配系統1260之溫度可藉由使用例如熱電偶(例如κ型 熱,偶、Pt感測器等)之溫度感測裝置而受到被監視。再者,一控 制器可利用溫度量獅為贼體分配祕溫度控鮮元丨豁之^ 饋,以便控制氣體分配系統126〇之溫度。或者/此外,在任何一個 實施例中可採用冷卻元件。 仍然參考圖15與16,真空抽氣系統1250可包含一真空泵1252 ^-閘閥1254用以壓制容室壓力。真空泵1252可包^具有每秒 高達5000公升(且大於)之抽真空速率之一渦輪分子真空泵 (TMP)。舉例而言,丁MP可以是一 Seik〇STP-A803真空泵,或一 52 1283024 ara T130|W真空泵。TMP對低壓加工(-般小於50mT〇rr)是 尸=的。對咼壓(亦即,大於1〇〇mT〇rr)或低通量加工(亦即,沒有 而,,可使用一機械加壓泵與乾燥低真空泵。 再參考圖16,化學處理系統1220可更包含一控制器1235, 二具有Μ處理态、記憶體、以及一數位1/〇埠能夠產生足以傳遞 =啟動輸入至化學處理系統1220以及監視從例如溫度與壓力感測 ,置之化學處理系統1220之輸出的控制電壓。此外,控制器1235 可連接至基板支撐部組件1244、氣體分配系統1260、真空抽氣系 ,1250、閘閥組件12%、壁面溫度控制單元1268以及氣體分配 糸統溫度控制單元1269並可與它們交換資訊。舉例而言,可利用 ,存於記憶體中之一程式以依據一製程配方啟動這些輸入至化學 處理系統1220之前述元件。 、心在一替代實施例中,一化學處理系統122〇可更包含一光學檢 視窗(未顯示),與至少一壓力感測裝置(未顯示)。 。如圖15與17所說明的,熱處理系統121〇更包含一溫控基板 ^撐部1270。基板支撐部1270包含藉由使用一熱障1274而與熱 处理各至1211絕熱之一固定基座1272。舉例而言,基板支撐部 1270以由鋁、不銹鋼或鎳所製造,而熱障1274可以由例如鐵氟 隆、氧化銘或石英之絕熱材料所製造。基板支撐部127〇更包含埋 入其中之一加熱元件1276與連接它的一基板支撐部溫度控制單元 鲁 ^278。加熱元件1276可譬如包含例如鎢、鎳鉻合金、銘鐵合金、 氮化紹專之電阻式加熱态元件,譬如燈絲。當電流流經此燈絲時, 功率被散發為熱,因此,基板支撐部溫度控制單元可譬如包 含了可控制DC電源供應部。或者,加熱的基板支撐部127〇可譬 如是商業上可從具有400至45〇t之最大操作溫度之Watk)w公^ (131〇KingslandDr,Batavia,IL,6〇51〇)取得的一鑄造加熱器,或包 ^氮化鋁材料之一薄膜加熱器,其商業上亦可從Watl〇w公司取 ,’且具有像3〇〇°〇—樣高的操作溫度與高達23.25 w/cm2之功率 密度。或者,一冷卻元件可被併入基板支撐部127〇中。 53 1283024 基板支撐部1270之溫度可藉由使用例如熱電偶(例如κ型熱 電偶)之溫度感測裝置(未顯示)而受到監視。再者,一控制器可利 用溫度量測作為對基板支撐部溫度控制單元1278之回饋,^便控 制基板支撐部1270之溫度。 &amp; 、此外,基板溫度可藉由使用例如光纖溫度計之一溫度感測裝 置或一頻帶邊緣溫度量測系統而受到監視,其中,光纖溫度計商 業上可從 Advanced Energies 公司(1625 Sharp point drive, FortThe temperature of the substrate support ΐ 124G can be monitored by using a temperature sensing device (not shown) such as a thermocouple (e.g., a two-sensor, etc.). The temperature measurement is then performed as a temperature control of the substrate support portion 1244 to control the temperature of the plate portion 124G. Paste (4), adjustable - fluid == degree, heat transfer _ heavy class, heat transfer gas pressure, clamp ί current or voltage, thermoelectric device current or polarity, etc., continuous pure substrate reading part 124G temperature towel change. Referring again to Figures 15 and 16', the chemical processing system 122G includes a gas distribution quarter-over-system (not shown) that can be coupled to the gas distribution system 1260. 'Using|, (4) 8 is not used to dispense a process gas comprising at least two gases. 50 1283024 One gas distribution system 1260 comprises: a gas distribution assembly 1422 having one or more elements 1424, 1426 and 1428; a first gas distribution plate 1430 coupled to the gas distribution assembly 1422 and specifically formed to connect a first gas to the chemical processing chamber 1221; and a second gas distribution plate 1432 coupled to the first gas distribution plate 1430 And forming a process space for connecting a second gas and the chemical processing chamber 1221. The first gas distribution plate 1430 forms a first gas distribution plenum 1440 when coupled to the gas distribution assembly 1422. Further, the second gas distribution plate 1432 forms a second gas distribution inflation chamber 1442 when connected to the first gas distribution plate 1430. Although not shown, the gas distribution plenums 1440, 1442 may enclose one or more gas distribution baffles. The second gas distribution plate 1432 further includes: one or more holes 1444 of a first array, and one or more channels 1446 formed in an array of the first gas distribution plate 1430 are connected and overlapped; One or more apertures 1448 of the two arrays. One or more apertures 1444 of the first array associated with the array of one or more channels 1446 are specifically formed to distribute the first gas from the first gas distribution plenum 144 to the process space of the chemical processing chamber 1221. One or more apertures 1448 of the second array are specifically formed to distribute the second gas from the second gas distribution plenum 1442 to the process space of the chemical processing chamber 1221. The treatment gas may include, for example, NH3, liF, H2, ruthenium 2, CO, C02, Ar, He, and the like. Each aperture 1444, 1448 includes a diameter and a length, wherein the diameter can range from about 〇1 to about cm' and the length can range from about 〇5 mm to about 5 cm. Because of this configuration, the first gas and the second gas system are independently introduced into the process space, and do not affect each other except in the 'process space. The crucible, the body I30 is transferred to the first gas distribution plenum 1440 by a first gas supply formed in the gas distribution assembly 1422. In addition, a second gas supply passage (not shown) in the gas distribution assembly 1422 is used to transfer the second gas distribution check 1442. k The temperature-producing 32 2^16, the chemical processing system 1220 further includes a maintenance-control chamber 1221. For example, a wall heating element 1266 soil/dish control unit 1268, and wall heating element 1266 can be specifically formed by 51 1283024 to connect chemical processing chamber 1221. The heating element may, for example, comprise a resistive heater element such as tungsten, nichrome, aluminum-iron alloy, aluminum nitride or the like, such as a filament. When current flows through the filament, the power is dissipated as heat, and thus, the wall temperature control unit 1268 can include, for example, a controllable DC power supply. For example, the two wall heating element 1266 can comprise at least one Firer〇d collet heater commercially available from Watlow Corporation (131 〇Kingsfand Dr" Batavia, IL, 60510. It can also be used in the chemical processing chamber 1221. A cooling element. The temperature of the chemical processing chamber 1221 can be monitored by using a temperature sensing device such as a thermocouple (e.g., a K-type thermocouple, a pt sensor, etc.). Further, a controller can be utilized. The temperature measurement is fed back to the &amp; wall temperature control unit 1268 to control the temperature of the chemical processing chamber 1221. Referring again to Figure 16, the chemical processing system 1220 can further include a temperature controlled gas distribution system that can be maintained at any selected temperature. 1260. For example, a gas distribution heating element 1267 can be coupled to a gas distribution system temperature control unit 1269, and the gas heating element 1267 can be specifically formed to connect the gas distribution system 126. The heating element can, for example, comprise For example, tungsten, nickel-chromium alloy, aluminum-iron alloy, aluminum nitride, etc., such as a filament, when the current flows through the filament, the power is dissipated as heat. Thus, the gas distribution system temperature control unit 1269 can include, for example, a controllable dc power supply. For example, the gas distribution heating element 1267 can include a dual interval of 14 〇〇 γ (or W/m2 $ power density). The temperature of the fluorenone rubber heater (the surface of the enamel rubber heater) 1260 can be monitored by using a temperature sensing device such as a thermocouple (e.g., a κ type heat, an even, a Pt sensor, etc.). Furthermore, a controller can use the temperature lion to assign a temperature control to the thief to control the temperature of the gas distribution system 126. Alternatively, in any embodiment, a cooling element can be employed. Still referring to Figures 15 and 16, the vacuum pumping system 1250 can include a vacuum pump 1252^-gate valve 1254 for compressing the chamber pressure. The vacuum pump 1252 can include one of the evacuation rates of up to 5000 liters per second (and greater than). Turbomolecular vacuum pump (TMP). For example, the DMP can be a Seik〇STP-A803 vacuum pump, or a 52 1283024 ara T130|W vacuum pump. TMP is low pressure processing (-typically less than 50mT〇rr). Pressure on (ie, greater than 1) 〇mT〇rr) or low-throughput processing (ie, no, a mechanical pressure pump and a dry low vacuum pump can be used. Referring again to Figure 16, the chemical treatment system 1220 can further include a controller 1235, two having The processing state, the memory, and a digit 1/〇埠 can generate a control voltage sufficient to pass the input to the chemical processing system 1220 and monitor the output of the chemical processing system 1220 from, for example, temperature and pressure sensing. The 1235 can be coupled to the substrate support assembly 1244, the gas distribution system 1260, the vacuum pumping system 1250, the gate valve assembly 12%, the wall temperature control unit 1268, and the gas distribution system temperature control unit 1269 and can exchange information with them. For example, a program stored in memory can be utilized to initiate these inputs to the aforementioned components of chemical processing system 1220 in accordance with a process recipe. In an alternative embodiment, a chemical processing system 122 can further include an optical inspection window (not shown) and at least one pressure sensing device (not shown). . As illustrated in Figures 15 and 17, the heat treatment system 121 further includes a temperature control substrate 1270. The substrate support portion 1270 includes a fixed base 1272 that is thermally insulated to one of 1211 by heat treatment using a thermal barrier 1274. For example, the substrate support portion 1270 is made of aluminum, stainless steel or nickel, and the thermal barrier 1274 can be made of a heat insulating material such as iron fluoride, oxidized or quartz. The substrate supporting portion 127 further includes a heating element 1276 embedded therein and a substrate supporting portion temperature control unit 鲁 278 connected thereto. The heating element 1276 can, for example, comprise a resistive heating element such as tungsten, nichrome, ferrous alloy, or nitriding, such as a filament. When current flows through the filament, the power is dissipated as heat, and thus, the substrate support temperature control unit can include, for example, a controllable DC power supply. Alternatively, the heated substrate support portion 127 may be, for example, a casting commercially available from Watk) w (^, ataKingslandDr, Batavia, IL, 6〇51〇) having a maximum operating temperature of 400 to 45 〇t. A heater, or a thin film heater of aluminum nitride material, which is commercially available from Watl〇w, and has an operating temperature of up to 23.25 w/cm2. Power density. Alternatively, a cooling element can be incorporated into the substrate support 127. 53 1283024 The temperature of the substrate support portion 1270 can be monitored by using a temperature sensing device (not shown) such as a thermocouple (e.g., a κ type thermocouple). Furthermore, a controller can use temperature measurement as feedback to the substrate support temperature control unit 1278 to control the temperature of the substrate support 1270. In addition, the substrate temperature can be monitored by using a temperature sensing device such as a fiber optic thermometer or a band edge temperature measuring system commercially available from Advanced Energies (1625 Sharp point drive, Fort).

Cc^ins,CO, 80525),型號 〇R2〇〇〇F 取得,其具有從 50 至 2〇〇〇t: 之量測^加或減1.5°C之精度,而頻帶邊緣溫度量測系統係如在審 理中的美國專利申請案號10/168544中所說明的,申請日為2〇〇2 年7月2日,其内容係全部於此併入作為參考文獻。 再參考圖17’熱處理系統1210更包含維持於一選擇溫度之一 溫度控制熱處理容室1211。舉例而言,一熱壁面加熱元件1283可 連接至熱壁面酿度控制單元1281,且熱壁面加熱元件1283可具 體形成以連接祕理容室11η。加熱元件可譬如包含例如鶴、&amp; 鉻合金、鋁鐵合金、氮化鋁等之電阻式加熱器元件,譬如燈絲厂 =電流流經此麟時,神被散發為熱,因此,触面溫度控制 單兀1281可譬如包含一可控制DC電源供應部。舉例而言,&amp; 面加熱元件1283可包含賴上可從獅㈣公a1(131()Ki^si^dCc^ins, CO, 80525), model 〇R2〇〇〇F, with an accuracy of 50° to 2〇〇〇t: plus or minus 1.5°C, and band edge temperature measurement system The application date is July 2, 2002, as described in the U.S. Patent Application Serial No. 10/168,544, the entire disclosure of which is incorporated herein by reference. Referring again to Figure 17', the heat treatment system 1210 further includes a temperature controlled heat treatment chamber 1211 maintained at a selected temperature. For example, a hot wall surface heating element 1283 can be coupled to the hot wall surface brewing control unit 1281, and the hot wall surface heating element 1283 can be specifically formed to connect the secret chamber 11n. The heating element may be, for example, a resistive heater element comprising, for example, a crane, a &lt; chrome alloy, an aluminum-iron alloy, an aluminum nitride, etc., such as a filament factory = when a current flows through the lin, the god is dissipated as heat, and therefore, the contact temperature control The single port 1281 can include, for example, a controllable DC power supply. For example, the &amp; surface heating element 1283 can contain a singer from the lion (four) public a1 (131 () Ki ^ si ^ d

Dr·’ Batavia,IL,60510)取得的至少一 Firer〇d筒夾加埶哭。戍者, 或=外,在熱處理容室1211中可採用冷卻元件。熱容室mu 之溫度可藉由使用例如熱電偶(例如κ型熱電偶、pt 對:、、、土面μ度控制單兀1281之回饋,以便控制熱處理容室u 之溫度。 _仍,考圖15與17 ^處理系統1210更包含—上部轉 ΐ部組件1284可譬如包含一氣體注人系統,用以將-吹除 虱體、處理軋體或清洗氣體導入至熱處理容室1211。 ’、 再參考® 17,熱處理系、统1210可更包含可被維持於一選擇溫 54 1283024 。舉例而言…上部組件加熱元件⑶5 運接至一上邛組件溫度控制單元1286,且上 1285可被频形成以控制上部组件1284之溫度。、”、、疋牛 等之ΐίϊΠΐ如S含例如鎢、鎳鉻合金、鋁鐵合金、氮化銘 ί元譬如燈絲。商業上可取得的製造電阻加 ;兀件之材枓的例子包含Kantha卜術〇_、施〇_, ^thel,=之Kanthal公司所製造的金屬合金之註冊商標名、=。 豕私包含鐵氧體合金(⑽咐,而馳如㈣家族包含奥氏 體&amp;金(NiCr、NiCrFe)。當電流流經此燈絲時,功率被散發為熱,Dr.’ Batavia, IL, 60510) obtained at least one Firer〇d collet and cried. Alternatively, or in addition, a cooling element may be employed in the heat treatment chamber 1211. The temperature of the heat chamber mu can be controlled by using, for example, a thermocouple (for example, a κ type thermocouple, a pt pair:,, a soil surface μ degree control unit 1281) to control the temperature of the heat treatment chamber u. 15 and 17 ^ The processing system 1210 further includes an upper switch assembly 1284 that can include, for example, a gas injection system for introducing a blow-off body, a process roll, or a purge gas into the heat treatment chamber 1211. Referring again to the ® 17, the heat treatment system 1210 can further comprise a temperature selected at a temperature of 54 1283024. For example, the upper component heating element (3) 5 is transported to an upper component temperature control unit 1286, and the upper 1285 can be frequencyd. Formed to control the temperature of the upper component 1284., ", yak, etc., such as S containing, for example, tungsten, nickel-chromium alloy, aluminum-iron alloy, nitriding, such as filament. Commercially available manufacturing resistance plus; Examples of the material 包含 include Kantha 〇 〇, 〇 〇, ^thel, = the registered trademark name of the metal alloy manufactured by Kanthal Company, =. The smuggling contains ferrite alloy ((10) 咐, and Chiru (4) The family contains austenite &amp; gold (NiCr, N iCrFe). When current flows through the filament, the power is dissipated as heat.

因此’上部組件溫度控制單元·可譬如包含—可控制 =部2。舉例而言,上雜件加航件1285可包含具有刚w 5 W/m之功率密度)之一雙區間石夕酮橡膠加熱器(1 ·〇咖厚)。上部 組ί 1284之溫度可藉由使用例如熱電偶(例如κ型熱電偶、Pt感 器等)之溫度感測裝置而受到監視。再者…控制器可利用溫度 量測作為對上部組件溫度控制單元1286之回饋,以便控制上部= 件1284之溫度。在一替代實施例中,上部組件1284可包含例如 陣列之鎢鹵素燈管之一輻射加熱器。上部組件1284可附帶或另 外包含一冷卻元件。Therefore, the 'upper component temperature control unit can, for example, contain - control = section 2. For example, the upper miscellaneous piece airfoil 1285 can include a double interval lycopene rubber heater (1 〇 厚 厚 厚) having a power density of just w 5 W/m. The temperature of the upper group ί 1284 can be monitored by using a temperature sensing device such as a thermocouple (e.g., a κ type thermocouple, a Pt sensor, etc.). Again, the controller can utilize temperature measurement as feedback to the upper component temperature control unit 1286 to control the temperature of the upper = 1284. In an alternate embodiment, the upper component 1284 can comprise a radiant heater, such as one of an array of tungsten halogen tubes. Upper assembly 1284 can be included with or otherwise include a cooling element.

再參考圖15與17,熱處理系統121〇更包含一基板升降桿組 件1290。基板升降桿組件1290係具體形成以將一基板1242,降低 至基板支撐部1270之一上表面,並將一基板1242”從基板支撐部 Π70之一上表面舉起至一支持平面,或於其間之一傳送平面。於 傳送平面,基板1242”可與被利用以運送基板進出化學與熱處理容 至1221、1211之一輸送系統交換。於支持平面,基板1242”可被 冷卻而另一個基板係在輸送系統與化學與熱處理容室1221、1211 之間作交換。 仍然參考圖15與17,熱處理系統1210更包含一真空抽氣系 統1280。真空抽氣系統1280可包含一真空泵與例如一閘閥或蝴蝶 閥之一節流閥。真空泵可包含具有每秒高達5000公升(且大於)之 55 1283024 巧空速率之i輪分子真空$_&gt;)。TMp對健加工(一般小 ' mT〇rr)疋有用的。對高壓加工(亦即,大於lOOmTorr)而t, 可使用一機械加壓泵與乾燥低真空泵。 。 再參考圖Π,熱處理系統1210可更包含一控制器1275,豆Referring again to Figures 15 and 17, the heat treatment system 121 further includes a substrate lifter assembly 1290. The substrate lifting rod assembly 1290 is specifically formed to lower a substrate 1242 to an upper surface of the substrate supporting portion 1270, and lift a substrate 1242" from an upper surface of the substrate supporting portion 70 to a supporting plane, or therebetween One of the transfer planes. At the transfer plane, the substrate 1242" can be exchanged with a transport system that is utilized to transport the substrate in and out of the chemistry and heat treatment capacity to 1221, 1211. In the support plane, the substrate 1242" can be cooled while the other substrate is exchanged between the delivery system and the chemical and thermal processing chambers 1221, 1211. Still referring to Figures 15 and 17, the thermal processing system 1210 further includes a vacuum pumping system 1280 The vacuum pumping system 1280 can include a vacuum pump and a throttle valve such as a gate valve or a butterfly valve. The vacuum pump can include an i-round molecular vacuum of $ 129,830,24 per second (and greater than) of 129 830,24. TMp is useful for machining (generally small 'mT〇rr). For high pressure machining (ie, greater than 100 mTorr) and t, a mechanical pressurizing pump and a dry low vacuum pump can be used. Referring again to the figure, the heat treatment system 1210 can further contain a controller 1275, beans

ίί二微處理11、記憶體、以及-數位1/()雜夠產生足以傳遞並 啟動輸入至誠理系統121(m及監視從熱處理系統121QΊί 二微处理11, memory, and -digit 1/() are enough to generate enough to pass and start the input to the Chengri system 121 (m and monitor from the heat treatment system 121Q

的控制電壓。此外,控制器1275可連接至基板支撐部溫度控制 元1278」上部組件溫度控制單元1286、上部組件1284、熱壁面溫 度控制單元1281、真空抽氣系、统1280以及基板升降桿組件12如 並可與它們交換資訊。舉例而言,可利用儲存於記憶體中之一程 式以依據。-製程配方啟動這錄人至祕理魏121()之前述元 件。g制器 1275 之一例係為一 DELL pREasi〇N w〇rkstati()n 610 ,可從德克薩斯州奥斯丁之Dell公司取得。 在-替代實施例巾,控制n 1235與1275可以是相同的控制 器0 此外,熱處理系統1210,更包含一基板偵測系統(未顯示),以 便確認-基板是否位於支持平面中。基板_系統可譬如包含一 Keyence(位於日本大阪之總部)數位雷射感測器。Control voltage. In addition, the controller 1275 can be coupled to the substrate support temperature control unit 1278" upper assembly temperature control unit 1286, upper assembly 1284, hot wall temperature control unit 1281, vacuum pumping system, system 1280, and substrate lifter assembly 12, and Exchange information with them. For example, it can be based on one of the methods stored in the memory. - The process recipe starts the above-mentioned components of the record to Wei 121 (). One of the g controllers 1275 is a DELL pREasi〇N w〇rkstati() n 610 available from Dell Corporation of Austin, Texas. In the alternative embodiment, the controls n 1235 and 1275 may be the same controller 0. In addition, the heat treatment system 1210 further includes a substrate detection system (not shown) to confirm whether the substrate is in the support plane. The substrate_system can include, for example, a Keyence (headquarters in Osaka, Japan) digital laser sensor.

雖然上述只有詳細說日林發日狀某些實蘭,但熟習本項技 藝者將輕易_㈣,在不實質上_本發明之麟教導與優點 之狀況下’於本貫施例中是可能作出多數修改。因此,所有這種 修改係意圖被包含在本發明之範轉内。 五、【圖式簡單說明】 圖1顯,依據本發明之一實施例之一加工系統之例示方塊圖; 圖2顯不依據本發明之一實施例之一加工系統之一操作方法 之例示流程圖; 圖3顯示依據本發明之一實施例之修整量測(Trimming Measurement)之例示視圖; 56 1283024 圖4顯示依據本發明之一實施例之一配方之例示視圖; 圖5顯示依據本發明之一實施例之一簡單的箱演算法之例示 視圖; 圖6顯示依據本發明之一實施例之配方範圍螢幕之例示視圖; 圖7顯示依據本發明之一實施例之一控制配方螢幕之例示視 圖; 圖8顯示依據本發明之一實施例之一控制策略螢幕之例示視 圖; 圖9A-9C顯示依據本發明之一實施例之控制計晝螢幕之例示 視圖; · 圖10顯示依據本發明之一實施例之一裝箱表螢幕之例示視 圖; 圖11顯示依據本發明之一實施例之一控制狀態螢幕之例示視 圖; 圖12顯示依據本發明之一實施例之一狀態螢幕之例示視圖; 圖13顯示依據本發明之一實施例之一模組組態螢幕之例示視 圖; 圖14顯示依據本發明之一實施例之一資料收集計晝組態螢幕 之例示視圖; 圖15顯不依據本發明之一實施例之一處理系統之概要剖面 _ 圖; 圖16顯示依據本發明之一實施例之一化學處理系統之概要剖 田圓; 圖圖17顯示依據本發明之一實施例之一熱處理系統之概要剖面 及 面圖圖18顯示依據本發明之—實施例之—氣體分配祕之概要剖 元件符號說明: 57 1283024 100〜處理加工系統 102〜開始事件 1 〇4〜晶圓產出(wafer out)事件 110〜製造設備系統(MES) 112〜客戶端工作站 120〜工具層(TL)控制器 122〜資料庫 130〜力口工工具 132〜第一整合量測模組(IMM)Although the above is only a detailed description of the daily development of some real orchids, it is easy for those skilled in the art to _(4), in the case of non-essential _ the teaching and advantages of the invention, it is possible in this example. Make most changes. Accordingly, all such modifications are intended to be included within the scope of the present invention. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a block diagram showing an exemplary processing system according to an embodiment of the present invention; FIG. 2 is a flowchart showing an exemplary operation method of a processing system according to an embodiment of the present invention. Figure 3 shows an exemplary view of Trimming Measurement in accordance with one embodiment of the present invention; 56 1283024 Figure 4 shows an exemplary view of a formulation in accordance with one embodiment of the present invention; Figure 5 shows an illustration in accordance with the present invention. An exemplary view of a simple box algorithm of an embodiment; FIG. 6 shows an exemplary view of a recipe range screen in accordance with an embodiment of the present invention; FIG. 7 shows an exemplary view of a recipe screen controlled in accordance with one embodiment of the present invention. Figure 8 shows an exemplary view of a control strategy screen in accordance with one embodiment of the present invention; Figures 9A-9C show an exemplary view of a control meter screen in accordance with one embodiment of the present invention; 1 is an illustration of a box screen display; FIG. 11 shows an exemplary view of a control state screen in accordance with one embodiment of the present invention; 1 is an illustration of a state screen of one of the embodiments; FIG. 13 is a diagram showing an exemplary configuration of a screen in accordance with an embodiment of the present invention; FIG. 14 shows a data collection group according to an embodiment of the present invention. FIG. 15 is a schematic cross-sectional view of a processing system according to an embodiment of the present invention. FIG. 16 is a schematic cross-sectional view of a chemical processing system according to an embodiment of the present invention; 17 shows a schematic cross-sectional view and a plan view of a heat treatment system according to an embodiment of the present invention. FIG. 18 shows a schematic cross-sectional view of a gas distribution secret according to an embodiment of the present invention: 57 1283024 100~ processing system 102~ Start event 1 〇 4 ~ wafer out event 110 ~ manufacturing equipment system (MES) 112 ~ client workstation 120 ~ tool layer (TL) controller 122 ~ database 130 ~ force tool 132 ~ An integrated measurement module (IMM)

134〜第二 IMM 150〜加工次系統 152〜第一緩衝器模組 154〜化學氧化物移除(C0R)模組 156〜後熱處理(pHT)容室 158〜弟一緩衝器模組 190〜R2R控制器 192〜鏈結 194〜鏈結 200〜程序 210〜接收加工前量測資料並定義一基板之一輸入狀態 215〜決定此基板之一期望狀態 220〜決定一期望的製程結果 225〜決定一製程配方 230〜加工此基板 235〜接收加工後量測資料並定義一基板之一輸出狀態 240〜比較加工後量測資料與加工前量測資料 245〜是否完成製程? 250〜結束 1200〜系統 58 1283024 1210〜熱處理系統 1210’〜熱處理系統 1211〜熱處理容室 1220〜化學處理系統 1221〜化學處理容室 1230〜絕熱組件 1231〜第二絕熱組件 1235〜控制器 1240〜基板支撐部 1242〜基板 1242’〜基板 1242”〜基板 1244〜基板支撐部組件 1250〜真空抽氣系統 1252〜真空泵 1254〜閘閥 1260〜氣體分配系統 1262〜製程空間 1266〜壁面加熱元件 1267〜氣體分配加熱元件 1268〜壁面溫度控制單元 1269〜氣體分配系統溫度控制單元 1270〜基板支撐部 1272〜固定基座 1274〜熱障 1275〜控制器 1276〜加熱元件 1278〜基板支撐部溫度控制單元 1280〜真空抽氣系統 59 1283024 1281〜熱壁面溫度控制單元 1283〜熱壁面加熱元件 1284〜上部組件 1285〜上部組件加熱元件 1286〜上部組件溫度控制單元 1290〜升降桿組件 1294〜共同開口部 1296〜閘閥組件 1298〜開口部 1422〜氣體分配組件 1424〜元件 1426〜元件 1428〜元件 1430〜第一氣體分配板 1432〜第二氣體分配板 1440〜第一氣體分配充氣腔 1442〜第二氣體分配充氣腔 1444〜孔 1446〜通道 1448〜孔134~second IMM 150~processing subsystem 152~first buffer module 154~chemical oxide removal (C0R) module 156~post heat treatment (pHT) chamber 158~different buffer module 190~R2R The controller 192~the link 194~the link 200~the program 210~ receives the pre-processing measurement data and defines a substrate input state 215~ determines the desired state of the substrate 220~ determines a desired process result 225~ decides one Process recipe 230~ processing the substrate 235~ receiving the processed measurement data and defining an output state of one of the substrates 240~ comparing the processed measurement data with the pre-process measurement data 245~ Is the process completed? 250~End 1200~System 58 1283024 1210~Heat treatment system 1210'~Healing system 1211~Heat treatment chamber 1220~Chemical treatment system 1221~Chemical treatment chamber 1230~Insulation assembly 1231~Secondary insulation assembly 1235~Controller 1240~Substrate Support portion 1242~substrate 1242'~substrate 1242"~substrate 1244~substrate support part assembly 1250~vacuum pumping system 1252~vacuum pump 1254~gate valve 1260~gas distribution system 1262~process space 1266~wall heating element 1267~gas distribution heating Element 1268~wall surface temperature control unit 1269~gas distribution system temperature control unit 1270~substrate support unit 1272~fixed base 1274~thermal barrier 1275~controller 1276~heating element 1278~substrate support temperature control unit 1280~vacuum pumping System 59 1283024 1281 ~ hot wall surface temperature control unit 1283 ~ hot wall surface heating element 1284 ~ upper part 1285 ~ upper part heating element 1286 ~ upper part temperature control unit 1290 ~ lifting rod assembly 1294 ~ common opening 1296 ~ gate valve assembly 1298 ~ opening Port 1422 ~ gas distribution component 142 4~ element 1426~ element 1428~ element 1430~first gas distribution plate 1432~second gas distribution plate 1440~first gas distribution inflation chamber 1442~second gas distribution inflation chamber 1444~hole 1446~channel 1448~hole

Claims (1)

1283024 十、申請專利範圍: 1 · 一種藉由化學氧化物移除之基板加工方法,盆葬由化學氧化 物移除(COR)來加工一基板,包含以下步驟:八稭由化子年 決定該基板之一期望狀態,其中該期望狀態包含至少一目標 臨界尺寸(CD); 接收該基板之加工前量測資料,其中該加工前量測資料定義 晶圓之輸入狀態並包含加工前CD資料; 、 藉由比較該輸入狀態與該期望狀態來決定一製程配方;以及 ^利用該製程配方來加工該基板,其方式為藉由化學方式改變 忒基板上之複數個露出之表面層,然後熱處理該等化學方式改變參 的表面層。 2.如申請專利範圍第1項之藉由化學氧化物移除之基板加工 方法,更包含以下步驟: •接收該基板之加工後量測資料,其中該加工後量測資料定義 一輸出狀態並包含一加工過的基板之CD資料; 決定該期望狀態是否已達成; 、 $該期望狀態並未被達成時,決定一新製程配方;以及 當該斯望狀態已被達成時,傳送該基板。 、3·如申請專利範圍第1項之藉由化學氧化物移除之基板加工 方法,其中該加工前量測資料包含光學數位輪廓檢測(〇Dp)資料。 、4·如申請專利範圍第1項之藉由化學氧化物移除之基板加工 方法,其中該加工後量測資料包含光學數位輪廓檢測(〇Dp)資料。 、5·如申請專利範圍第4項之藉由化學氧化物移除之基板加工 方法,其中該加工後量測資料包含掃描式電子顯微鏡(SEM)資料。 、6·如申請專利範圍第1項之藉由化學氧化物移除之基板加工 =法,,=该加工前量測資料包含至少一個所欲控制CD,而該製 私配方係藉由比較該至少一個所欲控制CD與該目標CD所決定。 、7·如申睛專利範圍第6項之藉由化學氧化物移除之基板加工 方法,其中該至少一個所欲控制CD係大於該目標CD,且該加工 61 1283024 包含執行一修整製程。 巧巾請專纖圍第7項之藉由化學氧化物移除之基板加工 方法,其中該修整製程包含: 供—°^觀狀—化學氧化师除(⑺R)製程配方, ^该基板上之複數個露出表面储由使聽理氣體而受化學方 ς处理’且其中於至少—露出表面上形成—固體反應生成物·,以 仙ΐ由使該固體反應生成物級來執行供—ΡΗΤ模組使用之-处理(ΡΗΤ)製麵方,藉峰整已化學處理過_等露出表面 方、專利範圍第8項之藉由化學氧化物移除之基板加工 万法,更包含以下步驟: 刭兮ί f^c〇R製程配方之執行與該PHT製程配方之執行,直 一個所欲控制CD係大約等於該目標cd為止。 方法9狀11由化學氧化物移除之基板加工 態並資料定義一輸出狀 ^定該量測得之CD是否大約等於該目標CD ; 樂r 得tCD並非大約等於該目標CD時,重複該c〇R 配方之執行與該PHT製程配方之執行·以及 猎由使該_反應生成_鍍來執行—酣模組之後熱處理 62 1283024 純學枝歧_«出表面中 工方由化_物移除之基板加 先決她㈣,財她觀方具有一預 之-;她^ 項之藉由化學氧化物移除之基板加 ii一裂箱表’每箱包含-預先決定的修整值; 之-=ΐί大約等於該加I前CD資料與該目標CD之間的差異 之一預先決疋的修整值之該箱;以及 A箱相關的預先合格的控制配方以作為該製程配方。 工方ί 利範圍第11項之藉由化學氧化物移除之基板加 工万法,更包含以下步驟: 建立包含一些配方之一查表;以及 執行一查表動作以決定該製程配方。 方、本專利_ ¥ 8項之藉由化學氧化物移除之基板加工 去,二中執行一 COR製程配方之步驟包含: 將,基板傳送進人包含—鱗處理容室之一模組; 部上,该基板配置在安裝於該化學處理t室内之-溫控基板支撐 壓力,用連接至該化學處理容室之—真空減祕來改變該容室 级,使^接至ί化學處理容室並用以將-處理氣體 導入至該化 予处之—氣體分配系絲提供該處理氣體;以及 直程配絲控獅C°R·、該溫控絲支撐部、^ 具二抽軋糸統以及該氣體分配系統。 63 1283024 =方法,其中該化學處理容室之壓力範圍從大約lmT〇订至大 100 mTorr 〇 25·如申請專利範圍第ls項之藉由化學氧化物移除之基板加 =法’更包含以下步驟:將該氣體分配系統中之該處理氣體之 溫度控制在從大約30°C至大約i〇〇°c之一範圍内。 26·如申請專利範圍第15項之藉由化學氧化物移除之基板加 ΐ二a 包含以下步驟:將-化學處理容室壁面之溫度控制在 從大約30C至大約l〇(TC之一範圍内。 專利範圍第16項之藉由化學氧化物移除之基板加 ,?中該熱處理容室中之該^^控基板支撐部之溫度範圍是 從大約10C至大約50°C。 JU專利範圍第16項之藉由化學氧化物移除之基板加 ί安裝於該熱處理容室中之該溫控基板支撐部上的該 基板之/JDL度範圍是從大約至大約5〇它。 七專利範圍第16項之藉由化學氧化物移除之基板加 /、巾该熱處理容室之壓力範圍是從大約1 mTorr至大約 100 mTorr 〇 τ 利範㈣16項之藉由化學氧化物移除之基板加 二處理容室之溫度範圍是從大約l〇°C至大約50。〇 範圍第16項之藉由化學氧化物移除之基板加 工方法,更包含以下步驟·· 第-益離C期間’將該基板配置於距離該溫控上部組件-4日谓期間’將該基板配置於距離溫控上部組件一第 距离隹。 工方利範圍第16項之藉由化學氧化物移除之基板加 工万沄,更包含以下步驟: 之-^圍^處理各室壁面之溫度控制在從大約3G°C至大約1〇〇°C 65 1283024 方法,其中該加1前旦f 藉由化學氧化物移除之基板加工 緣CD資料與關於:二1 :2 ?含關於至少-絕緣特徵部之一絕 方係由比較該、絕、緣特徵部之嵌套CD資料,而該製程配 定。 〇 &gt;料和該嵌套®資料與該目標CD所決 工方法,更包含^m33項之藉純學氧⑽瓣之基板加 -修ί製程身料與該目標cd資料之間的差異,執行-第 二修套❿轉與該目#cd資料之間的差異,執行-第 工方法,33項之藉由化學氧錄移除之基板加 決定1二^ 資料與該目標cd資料之_差異, 決定1^以部3資料與該目標CD資料之間的差異, 製程t ^《數增讀第二變數增量之騎差異,執行-修整 工方法,&amp;!項之齡化學氧化物移除之基板加 料。 Λ 則里測資料包含配適度(GOF)資料與深度資 方法3,7?包申==第1項之藉由化學氧化物移除之基板加工 -輪崎料定義 等製程特徵與—製程模型,計算晶圓之-預測狀能· 達成;、=預測狀態是否已藉由比較該輸出狀態與該預測狀i而 66 1283024 當該預測狀態並未被達成時,計算一製程模翻償。 女也m專利範圍第1項之藉由化料化物移除之基板加工 方法,其中該製程配方係由執行—控制策略與一控制計晝所決定。 土39.如,料利範圍第8項之藉由化學氧化物移除之基板加工 方法,更包含以下步驟: 將該基板從該COR模紐傳送至該pht模組。 40·—種處理基板之加工系統,包含:^ 風士I力次系統,其包含:—化學氧化移除(CC)R)模組,用以化 Γ = 基板上之複數個露出表面層;—後熱處理(PHT)模1283024 X. Patent application scope: 1 · A substrate processing method by chemical oxide removal, which is processed by chemical oxide removal (COR) to process a substrate, comprising the following steps: eight straws are determined by the chemical year a desired state of the substrate, wherein the desired state includes at least one target critical dimension (CD); receiving pre-process measurement data of the substrate, wherein the pre-process measurement data defines an input state of the wafer and includes pre-processed CD data; Determining a process recipe by comparing the input state with the desired state; and processing the substrate by using the process recipe by chemically modifying a plurality of exposed surface layers on the substrate, and then heat treating the substrate Chemically change the surface layer of the ginseng. 2. The method for processing a substrate by chemical oxide removal according to claim 1 of the patent application, further comprising the steps of: • receiving post-processing measurement data of the substrate, wherein the processed measurement data defines an output state and A CD material comprising a processed substrate; determining whether the desired state has been achieved; , determining a new process recipe when the desired state is not achieved; and transmitting the substrate when the desired state has been achieved. 3. The substrate processing method by chemical oxide removal according to item 1 of the patent application scope, wherein the pre-processing measurement data comprises optical digital contour detection (〇Dp) data. 4. The method of substrate processing by chemical oxide removal according to item 1 of the patent application scope, wherein the post-processing measurement data comprises optical digital contour detection (〇Dp) data. 5. The method of substrate processing by chemical oxide removal according to item 4 of the patent application scope, wherein the post-process measurement data comprises scanning electron microscope (SEM) data. 6. The substrate processing by chemical oxide removal according to item 1 of the patent application scope, = the pre-processing measurement data contains at least one CD to be controlled, and the private recipe is compared by At least one of the desired CDs is determined by the target CD. 7. The substrate processing method of claim 6, wherein the at least one desired control CD is larger than the target CD, and the processing 61 1283024 comprises performing a finishing process. Please refer to the seventh method of substrate processing by chemical oxide removal. The finishing process includes: for - ° ^ view - chemical oxidizer division ((7) R) process recipe, ^ on the substrate The plurality of exposed surfaces are stored by the chemical enthalpy for the hearing gas and the solid reaction product is formed on at least the exposed surface, and the sputum is performed by the solid reaction product level The group uses the -processing (ΡΗΤ) noodle side, which has been chemically treated by the peak, and the substrate is processed by the chemical oxide removal method, and the following steps are included:兮ί f^c〇R The execution of the process recipe and the execution of the PHT process recipe, until the desired CD is approximately equal to the target cd. Method 9 is the substrate processing state of the chemical oxide removed and the data defines an output shape to determine whether the CD measured by the quantity is approximately equal to the target CD; and the music is repeated when the tCD is not approximately equal to the target CD. The execution of the 〇R formula and the execution of the PHT process recipe and the hunting are performed by the _reaction generation_plating. 酣The module is then heat treated. 62 1283024 Purely _«Out of the surface The substrate plus the premise of her (four), her side has a pre-requisite; her ^ by chemical oxide removal of the substrate plus ii a crack box table 'each box contains - a predetermined trim value; Ϊ́ί is approximately equal to one of the pre-determined trim values of the difference between the pre-CD data and the target CD; and the pre-qualified control recipe associated with the A-box as the process recipe. The processing of the substrate by chemical oxide removal in item 11 of the worksheet is further included in the following steps: establishing a checklist containing one of the recipes; and performing a lookup action to determine the recipe of the process. Fang, this patent _ ¥ 8 is processed by the chemical oxide removal substrate, and the second step of executing a COR process recipe includes: transferring the substrate into a module containing a scale processing chamber; The substrate is disposed in a temperature control substrate supporting pressure installed in the chemical processing chamber, and the chamber is changed by a vacuum reduction method connected to the chemical processing chamber to be connected to the chemical processing chamber. And a gas distribution line for introducing the processing gas to the chemical supply line; and a straight-distribution wire control lion C°R·, the temperature control wire support portion, the second-rolling system, and The gas distribution system. 63 1283024 = method, wherein the pressure of the chemical treatment chamber ranges from about lmT to a maximum of 100 mTorr 〇 25 · The substrate addition method by chemical oxide removal as in the ls of the patent application § Step: controlling the temperature of the process gas in the gas distribution system to be in a range from about 30 ° C to about i ° ° c. 26. The substrate for chemical oxide removal according to claim 15 of claim 15 includes the following steps: controlling the temperature of the wall of the chemical treatment chamber from about 30 C to about 1 〇 (one of the TC ranges) The substrate of claim 16 is a substrate for chemical oxide removal, wherein the temperature of the substrate support portion in the heat treatment chamber ranges from about 10 C to about 50 ° C. The substrate/electrode oxide-removed substrate of item 16 has a /JDL degree of the substrate mounted on the temperature-controlled substrate support portion in the heat treatment chamber ranging from about to about 5 Å. Item 16 of the substrate for chemical oxide removal, the pressure range of the heat treatment chamber is from about 1 mTorr to about 100 mTorr, and the substrate is removed by chemical oxide removal. The temperature range of the processing chamber is from about 10 ° C to about 50. The substrate processing method by chemical oxide removal in item 16 of the 〇 range includes the following steps: · The substrate is disposed at a distance from the upper portion of the temperature control During the period of 4 days, the substrate is placed at a distance from the upper part of the temperature control unit. The processing of the substrate by chemical oxide removal in item 16 of the work area includes the following steps: ^ The temperature of each chamber wall is controlled from about 3G ° C to about 1 ° ° C 65 1283024 method, wherein the addition of 1 pre-denier f by chemical oxide removal of the substrate processing edge CD information and about: 2:2? Containing at least one of the insulating features is determined by comparing the nested CD data of the feature, the edge feature, and the process is assigned. 〇&gt; material and the nested® data and The method of the target CD is to complete the method, including the difference between the substrate of the pure oxygen (10) and the cd data of the target cd data, and the implementation - the second repair set and the target # The difference between the cd data, the execution-the work method, the 33-by-substrate addition by the chemical oxygen recording, the difference between the data and the target cd data, and the determination of the data from the target 3 The difference between the CD data, the process t ^ "the number of reading the second variable increment of the riding difference, execution - trimming Method, &amp;! age of chemical oxide removal of substrate feed. Λ Then the measured data contains the appropriateness (GOF) data and depth method 3,7? Bao Shen == the first item by chemical oxidation Process removal substrate processing - process definition and process model, calculation of wafer - predictive performance · reach; = = prediction state has been compared by comparing the output state with the prediction state i 12 1283024 When the predicted state is not achieved, a process mode compensation is calculated. The substrate processing method of the chemical material removal according to Item 1 of the patent scope, wherein the process recipe is performed by an execution-control strategy and a The control plan determines. Soil 39. For example, the substrate processing method of chemical oxide removal according to item 8 of the material range includes the following steps: transferring the substrate from the COR mold to the pht module. 40. A processing system for processing a substrate, comprising: a windshield I force system comprising: a chemical oxidation removal (CC) R) module for deuteration = a plurality of exposed surface layers on the substrate; - post heat treatment (PHT) mode 理該基板上之該等化學方式改變的表面層;以及一 、、、邑緣、、且件,連接在該pHT模組與該c〇R模组之間; ㈣^ If合量測模組(IMM),其連接至該加工次系、统,用以提 八/、疋Μ土板之一輸入狀悲之加工前量測資料;以及 壯控制I置,其連接至該加工次系統與該第一 ΙΜΜ,其中該 =衣置,用以將晶圓從該輸人狀態改變成期望狀態之一製程 配方,並執行該製程配方。 emitίΐί利範圍第40項之處理基板之加工系統,其中該 更包含:一溫控化學處理容室;一溫控基板支撐部,其The chemically altered surface layer on the substrate; and a first, a rim, and a member connected between the pHT module and the c〇R module; (4) ^ If the measurement module (IMM), which is connected to the processing subsystem and system for extracting the pre-processing measurement data of one input and one of the bauxite plates; and the Z-control, which is connected to the processing subsystem and The first defect, wherein the device is used to change the wafer from the input state to a process recipe of a desired state, and the process recipe is executed. The invention relates to a processing system for processing a substrate according to item 40, wherein the method further comprises: a temperature-controlled chemical processing chamber; and a temperature-controlled substrate supporting portion; ίί 學處理容室内並設計成用以實質上與該化學處理容室、 抽氣系統,其連接至該化學處理容室;以及—溫控 ^體刀配錢,用以將—種或多種處理氣體導人至該化學處理容 ΡΗτίΐ^利麵第4G項之處理基板之加111祕,其中該 安溫度控糖纽容室;—溫縣板支撐部, 孰,」理谷室内並設計成用以實質上與該熱處理容室絕 …,^及一^空抽氣系統,其連接至該熱處理容室。 、 制F 專利範圍第4G項之處理基板之加工系統,其中該# 配季\先、、^ 3用以控制—化學處理容室溫度、—化學處理氣體^ m —化學處理基板支撐部溫度一化學處理基板溫 1、 67 1283024 學處理加工壓力、一化學處理氣體流動速率、一熱處理容室 二Ϊ處理基板支撐部溫度、—熱處理基板溫度以及一熱處 理處理壓力之至少一者之手段。 申請專利範圍第40項之處理基板之加工系統,其中該絕 、、、、且匕含一絕熱組件、一閘閥組件以及一輸送系統之至少一者。 ’ 41項之處理基板之加1系統,其中該溫 才工化予處理谷至包含一壁面加熱元件。 ^ 16ί申請專利範圍第41項之處理基板之加工系統,其中該溫 統包含至少—氣體分配板,該氣體分配板包含一個 或多個氣體注入孔。 鮮專,範圍$41項之處理基板之加工系統,其中該化 二U,中之該溫控基板支撐部包含_靜電夾持系統、-背面 軋體七、應糸統以及一個或多個溫度控制元件之至少一者。 財專利範圍第41項之處理基板之加工系統,其中該化 二处*至中之該溫控基板支撐部包含一個或多個溫度控制元 件0 利範圍第41項之處理基板之加工系統,其中該氣 —第—氣體分配充氣腔與—第—氣體分配板, 八/、有了弟一陣列之一個或多個孔與一第二陣列之一個或多個 體分配板中之第-陣列之-個或多個孔, ,,=_合至製程空間;以及—第二氣體分配充氣腔與一 t二配f,於其中具有複數個通道,用以經由該第二氣體 ,配板中之巧通道與該第i體分配板中之第二_之一個或 夕個孔,將該第二氣體耦合至該製程空間。 〆 /础0·”1#專利範圍第49項之處理基板之加卫系統,其中該第 一氣胆^、δ亥第一氣體係獨立被導入製 5==利範圍第42項之處理=之力1系統,其中該 二i:且連接至該熱處理容室之—基板升降桿組件’用以 在-傳奸面無基板支撐部之_直平移該基板。 68 1283024 52. 如申請專利範圍第40項之處理基板之加工系統,其中該加 工次系統係連接至一製造系統。 53. 如申請專利範圍第40項之處理基板之加工系統,其中該控 制裝置亦決定該期望狀態是否已被達成。 十一、圖式:The processing chamber is designed to be substantially connected to the chemical processing chamber and the pumping system, and is connected to the chemical processing chamber; and the temperature control body is equipped with money for processing one or more kinds of treatments The gas is introduced to the processing substrate of the chemical treatment process ΡΗτίΐ^利面4G item, which is the secret of the temperature control sugar-filled room; the Wenxian plate support part, 孰," Ligu indoor and designed to use In connection with the heat treatment chamber, a vacuum extraction system is connected to the heat treatment chamber. The processing system for the processing substrate of the fourth patent item of the F patent range, wherein the #配季\先、,^3 is used for controlling—the chemical treatment chamber temperature, the chemical treatment gas ^ m — the chemical treatment substrate support temperature The means for chemically treating the substrate temperature 1, 67 1283024, the processing pressure, a chemical processing gas flow rate, a heat treatment chamber, the substrate support temperature, the heat treatment substrate temperature, and a heat treatment pressure. The processing system for processing a substrate according to claim 40, wherein the absolute, the, and the enthalpy comprise at least one of a heat insulating component, a gate valve component, and a conveying system. A system for processing a substrate of 41, wherein the temperature is processed to treat the valley to include a wall heating element. The processing system for processing a substrate of claim 41, wherein the temperature system comprises at least a gas distribution plate comprising one or more gas injection holes. Fresh, a processing system for processing substrates of $41, wherein the temperature control substrate support portion includes an electrostatic clamping system, a back rolling body 7, a system, and one or more temperature controls At least one of the components. The processing system for processing a substrate according to item 41 of the patent, wherein the temperature control substrate supporting portion of the second portion to the middle includes one or more processing systems for processing the substrate of the temperature control element No. 41, wherein The gas-first gas distribution plenum and the first gas distribution plate, eight/, one or more holes of an array and one or more arrays of one or more body distribution plates of a second array - Or a plurality of holes, , = _ into the process space; and - a second gas distribution plenum and a t-share f, having a plurality of channels therein for accommodating the plate through the second gas The channel and the second one of the i-th body distribution plate or the evening hole couple the second gas to the process space. 〆/基0·”1# Patent scope 49th processing substrate processing system, wherein the first gas biliary ^, δ hai first gas system is independently introduced into the system 5 == profit range item 42 treatment = The force 1 system, wherein the two i: and the substrate lifting rod assembly connected to the heat treatment chamber are used to directly translate the substrate on the non-substrate support portion. 68 1283024 52. Item 40. The processing system for processing a substrate, wherein the processing subsystem is coupled to a manufacturing system. 53. The processing system for processing a substrate according to claim 40, wherein the control device determines whether the desired state has been Achieved. XI, schema: 6969
TW93138910A 2003-03-17 2004-12-15 Method for operating a system for chemical oxide removal TWI283024B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US45459703P 2003-03-17 2003-03-17
US45464403P 2003-03-17 2003-03-17
US45464103P 2003-03-17 2003-03-17
US45464203P 2003-03-17 2003-03-17
US10/736,983 US7877161B2 (en) 2003-03-17 2003-12-17 Method and system for performing a chemical oxide removal process

Publications (2)

Publication Number Publication Date
TW200532795A TW200532795A (en) 2005-10-01
TWI283024B true TWI283024B (en) 2007-06-21

Family

ID=32996564

Family Applications (1)

Application Number Title Priority Date Filing Date
TW93138910A TWI283024B (en) 2003-03-17 2004-12-15 Method for operating a system for chemical oxide removal

Country Status (1)

Country Link
TW (1) TWI283024B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12131957B2 (en) 2021-01-28 2024-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing process with atomic level inspection

Also Published As

Publication number Publication date
TW200532795A (en) 2005-10-01

Similar Documents

Publication Publication Date Title
US8175736B2 (en) Method and system for performing a chemical oxide removal process
TWI692034B (en) Cobalt etch back
TWI308703B (en) Method for performing probability constrained optimization in electrical fabrication control and related system
TWI311161B (en) Formula-based run-to-run control
CN100373545C (en) Base plate processing device,base plate processing method and progarm
TWI304613B (en) Iso/nested cascading trim control with model feedback updates
TWI534288B (en) The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium
TWI300182B (en) An iso/nested controlling method for soft mask processing applied in operating a semiconductor processing system and a computer readable medium recording relevant instructions
TWI238151B (en) Nitrogen treatment of polished halogen-doped silicon glass
TWI402371B (en) In-situ dry cleaning reactor for front production line process
TWI363281B (en) Method and apparatus for optimizing a gate channel
TW201028494A (en) Methods for depositing tungsten films having low resistivity for gapfill applications
KR102443978B1 (en) Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
TW201005568A (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US20150253762A1 (en) Integrated management system, management device, method of displaying information for substrate processing apparatus, and recording medium
US9766617B2 (en) Substrate processing apparatus
TWI313910B (en) Method for forming a barrier/seed layer for copper metallization
EP1715079A1 (en) Deposition of titanium nitride film
TW507015B (en) In-situ, preclean of wafers prior to a chemical vapor deposition titanium deposition step
JP2021168422A (en) Substrate-processing machine, semiconductor device-manufacturing method and program
TWI283024B (en) Method for operating a system for chemical oxide removal
CN101213640B (en) Control device for substrate processing apparatus and control method for substrate processing apparatus
US7550323B2 (en) Electrical fuse with a thinned fuselink middle portion
JP2007257476A (en) Substrate processing equipment
CN110911265A (en) Method for removing silicon oxide in titanium nitride generation process

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees