TW202532979A - Focus metrology method and method for designing a focus target for focus metrology - Google Patents
Focus metrology method and method for designing a focus target for focus metrologyInfo
- Publication number
- TW202532979A TW202532979A TW113141817A TW113141817A TW202532979A TW 202532979 A TW202532979 A TW 202532979A TW 113141817 A TW113141817 A TW 113141817A TW 113141817 A TW113141817 A TW 113141817A TW 202532979 A TW202532979 A TW 202532979A
- Authority
- TW
- Taiwan
- Prior art keywords
- sub
- target
- focus
- parameter value
- measurement parameter
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70641—Focus
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70681—Metrology strategies
- G03F7/70683—Mark designs
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
Description
本發明係關於積體電路製造中之度量衡應用。The present invention relates to the application of metrology in integrated circuit manufacturing.
微影設備為經建構以將所要圖案施加至基板上之機器。微影設備可用於例如積體電路(IC)之製造中。微影設備可例如將圖案化裝置(例如,光罩)處之圖案(亦常常稱為「設計佈局」或「設計」)投射至提供於基板(例如,晶圓)上之輻射敏感材料(抗蝕劑)層上。A lithography system is a machine designed to apply a desired pattern to a substrate. Lithography systems are used, for example, in the manufacture of integrated circuits (ICs). They can project a pattern (often referred to as a "design layout" or "design") from a patterned device (e.g., a photomask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g., a wafer).
為了將圖案投射於基板上,微影設備可使用電磁輻射。此輻射之波長判定可形成於基板上之特徵的最小大小。當前使用之典型波長為365 nm (i線)、248 nm、193 nm及13.5 nm。相比於使用例如具有193 nm之波長之輻射的微影設備,使用具有在4 nm至20 nm之範圍內之波長(例如6.7 nm或13.5 nm)之極紫外線(EUV)輻射的微影設備可用於在基板上形成較小特徵。To project a pattern onto a substrate, lithography equipment uses electromagnetic radiation. The wavelength of this radiation determines the minimum size of features that can be formed on the substrate. Typical wavelengths currently used are 365 nm (i-line), 248 nm, 193 nm, and 13.5 nm. Compared to lithography equipment using radiation with a wavelength of, for example, 193 nm, lithography equipment using extreme ultraviolet (EUV) radiation with a wavelength in the 4 nm to 20 nm range (e.g., 6.7 nm or 13.5 nm) can be used to form smaller features on substrates.
低k 1微影可用於處理尺寸小於微影設備之經典解析度極限的特徵。在此程序中,可將解析度公式表示為CD = k 1×λ/NA,其中λ為所使用輻射之波長,NA為微影設備中之投影光學件之數值孔徑,CD為「關鍵尺寸」(通常為經印刷之最小特徵大小,但在此種狀況下為半間距),且k 1為經驗解析度因數。一般而言,k 1愈小,則愈難以在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案。為了克服此等困難,可將複雜微調步驟應用於微影投影設備及/或設計佈局。此等步驟包括例如但不限於NA之最佳化、定製照明方案、使用相移圖案化裝置、例如設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及程序校正」)之設計佈局的各種最佳化,或通常經定義為「解析度增強技術」(RET)之其他方法。替代地,用於控制微影設備之穩定性之嚴格控制迴路可用以改良在低k1下之圖案之再現。 Low- k₁ lithography can be used to process features smaller than the classic resolution limit of the lithography equipment. In this process, the resolution formula can be expressed as CD = k₁ × λ/NA, where λ is the wavelength of the radiation used, NA is the numerical aperture of the projection optics in the lithography equipment, CD is the "critical dimension" (usually the smallest printed feature size, but in this case, half-pitch), and k₁ is an empirical resolution factor. Generally speaking, the smaller k₁ , the more difficult it is to reproduce a pattern on the substrate that resembles the shape and size planned by the circuit designer to achieve specific electrical functionality and performance. To overcome these difficulties, complex fine-tuning steps can be applied to the lithography projection equipment and/or the design layout. These steps include, for example, but are not limited to, optimization of the NA, customizing the illumination scheme, using phase-shift patterning devices, various optimizations of the design layout such as optical proximity correction (OPC, sometimes also referred to as "optical and process correction") in the design layout, or other methods generally defined as "resolution enhancement technology" (RET). Alternatively, a strict control loop for controlling the stability of the lithography equipment can be used to improve the reproduction of the pattern at low k1.
度量衡工具在IC製造程序之許多態樣中用作例如用於基板在曝光之前之適當定位之對準工具及用於在程序控制中檢測/量測經曝光及/或經蝕刻產品的基於散射量測之工具;例如以量測疊對。Metrology tools are used in many aspects of the IC manufacturing process as, for example, alignment tools for proper positioning of substrates prior to exposure and as scatterometry-based tools for inspecting/measuring exposed and/or etched products in process control; for example, to measure overlays.
需要監視之微影程序之一個重要參數為焦點。需要將數目不斷增加的電子組件整合於IC中。為了實現此整合,有必要減小組件之大小且因此增加投影系統之解析度,使得可將愈來愈小的細節或線寬投射於基板之目標部分上。隨著微影中之關鍵尺寸(CD)縮小,橫越基板及在基板之間之焦點之一致性變得愈來愈重要。CD為變化將造成一或若干特徵之物理屬性之不良變化的該特徵之尺寸(諸如電晶體之閘極寬度)。傳統地,最佳設定係藉由「提前發送晶圓」予以判定,亦即,在生產運作之前曝光、顯影及量測之基板。在提前發送晶圓中,在所謂的焦點能量矩陣(FEM)中曝光測試結構,且根據彼等測試結構之檢查來判定最佳焦點及能量設定。One important parameter of the lithography process that needs to be monitored is the focus. An ever-increasing number of electronic components needs to be integrated into ICs. In order to achieve this integration, it is necessary to reduce the size of the components and therefore increase the resolution of the projection system so that smaller and smaller details or line widths can be projected onto the target portion of the substrate. As the critical dimension (CD) in lithography shrinks, the consistency of the focus across the substrate and between substrates becomes increasingly important. The CD is the dimension of a feature (e.g. the gate width of a transistor) whose variation will cause undesirable variations in the physical properties of one or more features. Traditionally, the optimal settings are determined by "wafer advance", that is, substrates that are exposed, developed and measured before the production run. In advance shipment of wafers, test structures are exposed in a so-called focus energy matrix (FEM), and the optimal focus and energy settings are determined based on inspection of these test structures.
判定焦點及/或劑量之另一方法已係經由基於繞射之焦點技術。基於繞射之焦點可使用倍縮光罩上之目標成形特徵,該等目標成形特徵印刷具有取決於在印刷期間之焦點及/或劑量設定之不對稱程度的目標。接著可量測此不對稱程度且根據不對稱性量測來推斷焦點及/或劑量。此等焦點量測方法及相關聯測試結構設計具有數個缺點。許多測試結構需要具有大節距之次解析度特徵或光柵結構。此等結構可違反微影設備之使用者之設計規則。焦點量測技術可包含量測由特殊聚焦相依目標結構散射之相對高階(例如,一階)輻射中的不對稱性且根據此不對稱性判定焦點。Another method of determining focus and/or dose has been through diffraction-based focus techniques. Diffraction-based focus can use target-forming features on a zoom mask that print a target with a degree of asymmetry that depends on the focus and/or dose settings during printing. This degree of asymmetry can then be measured and the focus and/or dose inferred based on the asymmetry measurement. These focus measurement methods and the associated test structure designs have several disadvantages. Many test structures require sub-resolution features or grating structures with large pitches. These structures can violate the design rules of the user of the lithography equipment. Focus metrology techniques may involve measuring asymmetries in relatively high-order (e.g., first-order) radiation scattered by a particular focus-dependent target structure and determining focus based on the asymmetry.
需要改良目前的聚焦度量衡技術,特別是在EUV微影之上下文中。There is a need to improve current focus metrology techniques, especially in the context of EUV lithography.
在本發明之一第一態樣中,提供了一種度量衡方法,其包含: 獲得與至少一第一子目標相關的一第一量測參數值;獲得與至少一第三子目標相關的一第三量測參數值;以及根據至少該第一量測參數值來判定至少一聚焦參數,該判定係使用至少該第三量測參數值來正規化,該至少第三子目標包含一正規化子目標,該正規化子目標實質上不包含聚焦靈敏度或包含最小聚焦靈敏度。 In a first aspect of the present invention, a metrology method is provided, comprising: obtaining a first measurement parameter value associated with at least a first sub-target; obtaining a third measurement parameter value associated with at least a third sub-target; and determining at least one focus parameter based on at least the first measurement parameter value, wherein the determination is normalized using at least the third measurement parameter value, wherein the at least third sub-target includes a normalized sub-target, wherein the normalized sub-target includes substantially no focus sensitivity or includes minimal focus sensitivity.
在本發明之一第二態樣中,提供了一種設計一聚焦目標之方法,其包含:獲得一候選子目標庫(pool);獲得量測參數資料,該量測參數資料包含在一所關注聚焦範圍及一所關注劑量範圍內的用於該候選子目標庫中之各候選子目標之各別量測參數值;根據複數個擬合係數,針對每個候選子目標在聚焦及劑量上擬合該等量測參數值;根據該複數個擬合係數判定用於該等候選子目標之不同對的複數個差量係數;以及相對於一或多個準則評估該複數個差量係數以判定一或多個可行目標,各可行目標包含候選子目標之一可行組合。In a second aspect of the present invention, a method for designing a focus target is provided, comprising: obtaining a pool of candidate sub-targets; obtaining measurement parameter data, the measurement parameter data comprising respective measurement parameter values for each candidate sub-target in the candidate sub-target pool within a focus range of interest and a dose range of interest; fitting the measurement parameter values in focus and dose for each candidate sub-target based on a plurality of fitting coefficients; determining a plurality of difference coefficients for different pairs of the candidate sub-targets based on the plurality of fitting coefficients; and evaluating the plurality of difference coefficients relative to one or more criteria to determine one or more feasible targets, each feasible target comprising a feasible combination of candidate sub-targets.
在本文件中,術語「輻射」及「射束」用於涵蓋所有類型的電磁輻射,包括紫外線幅射(例如具有365、248、193、157或126 nm之波長)及EUV (極紫外線輻射,例如具有在約5至100 nm範圍內之波長)。In this document, the terms "radiation" and "beam" are used to cover all types of electromagnetic radiation, including ultraviolet radiation (e.g., having a wavelength of 365, 248, 193, 157, or 126 nm) and EUV (extreme ultraviolet radiation, e.g., having a wavelength in the range of about 5 to 100 nm).
如本文中所使用之術語「倍縮光罩」、「光罩」或「圖案化裝置」可廣泛地解釋為係指可用以向入射輻射射束賦予圖案化橫截面之通用圖案化裝置,該圖案化橫截面對應於待在基板之目標部分中產生之圖案。在此上下文中,亦可使用術語「光閥」。除經典光罩(透射性或反射性;二元、相移、混合式等)以外,其他此類圖案化裝置之實例包括可程式化鏡面陣列及可程式化LCD陣列。As used herein, the terms "reduction reticle," "mask," or "patterning device" should be broadly interpreted to refer to a general-purpose patterning device that can be used to impart a patterned cross-section to an incident radiation beam, corresponding to the pattern to be produced in a target portion of a substrate. In this context, the term "light valve" may also be used. In addition to classic masks (transmissive or reflective; binary, phase-shift, hybrid, etc.), other examples of such patterning devices include programmable mirror arrays and programmable LCD arrays.
圖1展示包含輻射源SO及微影設備LA之微影系統。輻射源SO經組態以產生EUV輻射射束B及將EUV輻射射束B供應至微影設備LA。微影設備LA包含照明系統IL、經組態以支撐圖案化裝置MA (例如,光罩)之支撐結構MT、投影系統PS,及經組態以支撐基板W之基板台WT。FIG1 shows a lithography system including a radiation source SO and a lithography apparatus LA. The radiation source SO is configured to generate an EUV radiation beam B and to supply the EUV radiation beam B to the lithography apparatus LA. The lithography apparatus LA includes an illumination system IL, a support structure MT configured to support a patterning device MA (e.g., a reticle), a projection system PS, and a substrate table WT configured to support a substrate W.
照明系統IL經組態以在EUV輻射射束B入射於圖案化裝置MA上之前調節EUV輻射射束B。另外,照明系統IL可包括琢面化場鏡面裝置10及琢面化光瞳鏡面裝置11。琢面化場鏡面裝置10及琢面化光瞳鏡面裝置11共同地提供具有所要橫截面形狀及所要強度分佈之EUV輻射射束B。除了琢面化場鏡面裝置10及琢面化光瞳鏡面裝置11之外或代替該等裝置,照明系統IL亦可包括其他鏡面或裝置。The illumination system IL is configured to condition the EUV radiation beam B before the EUV radiation beam B is incident on the patterning device MA. Furthermore, the illumination system IL may include a faceted field mirror device 10 and a faceted pupil mirror device 11. Together, the faceted field mirror device 10 and the faceted pupil mirror device 11 provide the EUV radiation beam B with a desired cross-sectional shape and a desired intensity distribution. The illumination system IL may also include other mirrors or devices in addition to or in place of the faceted field mirror device 10 and the faceted pupil mirror device 11.
在如此調節之後,EUV輻射射束B與圖案化裝置MA相互作用。由於此相互作用,產生經圖案化EUV輻射射束B'。投影系統PS經組態以將經圖案化EUV輻射射束B'投射至基板W上。出於彼目的,投影系統PS可包含經組態以將經圖案化EUV輻射射束B'投射至由基板台WT固持之基板W上的複數個鏡面13、14。投影系統PS可將縮減因數應用於經圖案化EUV輻射射束B',因此形成具有小於圖案化裝置MA上之對應特徵之特徵的影像。舉例而言,可應用縮減因數4或8。儘管投影系統PS在圖1中被繪示為僅具有兩個鏡面13、14,但投影系統PS可包括不同數目個鏡面(例如,六個或八個鏡面)。After being conditioned in this manner, EUV radiation beam B interacts with patterning device MA. This interaction results in a patterned EUV radiation beam B'. A projection system PS is configured to project patterned EUV radiation beam B' onto substrate W. For this purpose, projection system PS may include a plurality of mirrors 13, 14 configured to project patterned EUV radiation beam B' onto substrate W held by substrate table WT. Projection system PS may apply a reduction factor to patterned EUV radiation beam B', thereby forming an image having features that are smaller than corresponding features on patterning device MA. For example, a reduction factor of 4 or 8 may be applied. Although the projection system PS is depicted in FIG. 1 as having only two mirrors 13 , 14 , the projection system PS may include a different number of mirrors (eg, six or eight mirrors).
基板W可包括先前形成之圖案。在此狀況下,微影設備LA使由經圖案化EUV輻射射束B'形成之影像與先前形成於基板W上之圖案對準。The substrate W may include a previously formed pattern. In this case, the lithography apparatus LA aligns the image formed by the patterned EUV radiation beam B′ with the pattern previously formed on the substrate W.
可在輻射源SO中、在照明系統IL中及/或在投影系統PS中提供相對真空,亦即,處於充分地低於大氣壓力之壓力下之少量氣體(例如氫氣)。A relative vacuum, i.e. a small amount of gas (e.g. hydrogen) at a pressure substantially below atmospheric pressure, may be provided in the radiation source SO, in the illumination system IL and/or in the projection system PS.
圖1中所展示之輻射源SO為例如可稱作雷射產生電漿(LPP)源的類型。可例如包括CO 2雷射之雷射系統1經配置以經由雷射射束2將能量沉積至由例如燃料發射器3提供的燃料(諸如,錫(Sn))中。儘管在以下描述中提及錫,但可使用任何合適燃料。燃料可例如呈液體形式,且可例如為金屬或合金。燃料發射器3可包含噴嘴,該噴嘴經組態以沿著朝向電漿形成區4之軌跡而引導例如呈液滴之形式的錫。雷射射束2在電漿形成區4處入射於錫上。將雷射能量沉積至錫中會在電漿形成區4處產生錫電漿7。在電子與電漿之離子的去激發及再結合期間,自電漿7發射包括EUV輻射之輻射。 The radiation source SO shown in FIG1 is of a type that can be referred to as a laser-produced plasma (LPP) source, for example. A laser system 1, which can include, for example, a CO2 laser, is configured to deposit energy via a laser beam 2 into a fuel, such as tin (Sn), provided by, for example, a fuel emitter 3. Although tin is mentioned in the following description, any suitable fuel can be used. The fuel can be, for example, in liquid form and can be, for example, a metal or an alloy. The fuel emitter 3 can include a nozzle configured to guide tin, for example, in the form of droplets, along a trajectory toward a plasma formation region 4. The laser beam 2 is incident on the tin at the plasma formation region 4. Depositing the laser energy into the tin generates a tin plasma 7 at the plasma formation region 4. During deexcitation and recombination of electrons and plasma ions, radiation including EUV radiation is emitted from the plasma 7.
由收集器5收集及聚焦來自電漿之EUV輻射。收集器5包含例如近正入射輻射收集器5 (有時更通常被稱為正入射輻射收集器)。收集器5可具有經配置以反射EUV輻射(例如,具有諸如13.5 nm之所要波長之EVU輻射)之多層鏡面結構。收集器5可具有橢球形組態,該橢球形組態具有兩個焦點。如下文所論述,該等焦點中之第一者可處於電漿形成區4處,且該等焦點中之第二者可處於中間焦點6處。EUV radiation from the plasma is collected and focused by a collector 5. The collector 5 comprises, for example, a near-normal-incidence radiation collector 5 (sometimes more generally referred to as a normal-incidence radiation collector). The collector 5 may have a multi-layer mirror structure configured to reflect EUV radiation (e.g., EUV radiation having a desired wavelength, such as 13.5 nm). The collector 5 may have an ellipsoidal configuration with two focal points. As discussed below, a first of these focal points may be located at the plasma formation region 4, and a second of these focal points may be located at an intermediate focus 6.
雷射系統1可在空間上與輻射源SO分離。在此狀況下,雷射射束2可憑藉包含例如合適引導鏡面及/或射束擴展器及/或其他光學件之射束遞送系統(圖中未示)而自雷射系統1傳遞至輻射源SO。雷射系統1、輻射源SO及射束遞送系統可共同地被視為輻射系統。Laser system 1 can be spatially separated from radiation source SO. In this case, laser beam 2 can be transferred from laser system 1 to radiation source SO by means of a beam delivery system (not shown) comprising, for example, suitable steering mirrors and/or beam expanders and/or other optical components. Laser system 1, radiation source SO, and beam delivery system can be collectively referred to as a radiation system.
由收集器5反射之輻射形成EUV輻射射束B。EUV輻射射束B聚焦於中間焦點6處,以在存在於電漿形成區4處的電漿之中間焦點6處形成影像。中間焦點6處之影像充當用於照明系統IL之虛擬輻射源。輻射源SO經配置使得中間焦點6位於輻射源SO之圍封結構9中之開口8處或附近。The radiation reflected by the collector 5 forms an EUV radiation beam B. The EUV radiation beam B is focused at a central focus 6 to form an image at the central focus 6 of the plasma present in the plasma formation region 4. The image at the central focus 6 serves as a virtual radiation source for the illumination system IL. The radiation source SO is configured so that the central focus 6 is located at or near an opening 8 in an enclosure 9 of the radiation source SO.
儘管圖1將輻射源SO描繪為雷射產生電漿(LPP)源,但諸如放電產生電漿(DPP)源或自由電子雷射(FEL)之任何合適的源可用以產生EUV輻射。Although FIG1 depicts the radiation source SO as a laser produced plasma (LPP) source, any suitable source such as a discharge produced plasma (DPP) source or a free electron laser (FEL) may be used to generate EUV radiation.
如圖2中所展示,微影設備LA可形成微影單元(lithographic cell) LC (有時亦被稱作微影單元(lithocell)或(微影)叢集)之部分,微影單元LC常常亦包括用以對基板W執行曝光前程序及曝光後程序之設備。習知地,此等設備包括用以沉積抗蝕劑層之旋塗器SC、用以顯影經曝光抗蝕劑之顯影器DE、冷卻板CH及烘烤板BK,冷卻板CH及烘烤板BK例如用於調節基板W之溫度,例如用於調節抗蝕劑層中之溶劑。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板W,在不同程序設備之間移動基板W,且將基板W遞送至微影設備LA之裝載區LB。微影單元中常常亦被集體地稱作塗佈顯影系統之裝置通常係在塗佈顯影系統控制單元TCU之控制下,該塗佈顯影系統控制單元自身可受到監督控制系統SCS控制,該監督控制系統亦可例如經由微影控制單元LACU而控制微影設備LA。As shown in FIG2 , the lithographic apparatus LA may form part of a lithographic cell LC (sometimes also referred to as a lithocell or a (lithographic) cluster), which often also includes equipment for performing pre-exposure and post-exposure processes on a substrate W. As is known, this equipment includes a spin coater SC for depositing a resist layer, a developer DE for developing the exposed resist, a cooling plate CH, and a baking plate BK. The cooling plate CH and baking plate BK are used, for example, to regulate the temperature of the substrate W, such as for regulating the solvent in the resist layer. A substrate handler or robot RO picks up substrates W from input/output ports I/O1 and I/O2, moves the substrates W between various process equipment, and delivers the substrates W to the loading area LB of the lithography apparatus LA. The equipment in the lithography unit, often collectively referred to as the coating and development system, is typically controlled by a coating and development system control unit (TCU). The coating and development system control unit itself may be controlled by a supervisory control system (SCS), which may also control the lithography apparatus LA, for example, via the lithography control unit (LACU).
為了正確且一致地曝光由微影設備LA曝光之基板W,需要檢測基板以量測經圖案化結構之屬性,諸如後續層之間的疊對誤差、線的粗細、關鍵尺寸(CD)等等。出於此目的,可在微影單元LC中包括檢測工具(圖中未示)。若偵測到誤差,則可對後續基板之曝光或對欲對基板W執行之其他處理步驟進行例如調整,尤其係在同一批量或批次之其他基板W仍待曝光或處理之前進行檢測的情況下。To correctly and consistently expose substrates W exposed by lithography apparatus LA, the substrates need to be inspected to measure properties of the patterned structures, such as overlay errors between subsequent layers, line thickness, critical dimensions (CDs), and so on. For this purpose, an inspection tool (not shown) may be included in lithography cell LC. If errors are detected, adjustments can be made to the exposure of subsequent substrates or to other processing steps to be performed on substrate W, particularly before other substrates W from the same batch or lot are exposed or processed.
亦可被稱作度量衡設備之檢測設備用以判定基板W之屬性,且詳言之,判定不同基板W之屬性如何變化或與同一基板W之不同層相關聯之屬性在層與層間如何變化。檢測設備可替代地經建構以識別基板W上之缺陷,且可例如為微影單元LC之一部分,或可整合至微影設備LA中,或可甚至為獨立裝置。檢測設備可量測潛影(在曝光之後在抗蝕劑層中之影像)上之屬性,或半潛影(在曝光後烘烤步驟PEB之後在抗蝕劑層中之影像)上之屬性,或經顯影抗蝕劑影像(其中抗蝕劑之曝光部分或未曝光部分已被移除)上之屬性,或甚至經蝕刻影像(在諸如蝕刻之圖案轉印步驟之後)上之屬性。The inspection equipment, which may also be referred to as metrology equipment, is used to determine properties of the substrate W, and more specifically, to determine how the properties vary between different substrates W or how properties associated with different layers of the same substrate W vary from layer to layer. The inspection equipment may alternatively be configured to identify defects on the substrate W and may, for example, be part of the lithography cell LC, may be integrated into the lithography apparatus LA, or may even be a standalone device. The inspection equipment can measure properties on a latent image (the image in the resist layer after exposure), a penumbra image (the image in the resist layer after the post-exposure bake (PEB) step), a developed resist image (where either the exposed or unexposed portions of the resist have been removed), or even an etched image (after a pattern transfer step such as etching).
通常,微影設備LA中之圖案化程序為在處理中之最具決定性步驟中的一者,其需要基板W上之結構之尺寸標定及置放之高準確度。為了確保此高準確度,可將三個系統組合於所謂的「整體」控制環境中,如圖3中示意性地所描繪。此等系統中之一者係微影設備LA,其(實際上)連接至度量衡工具MT (第二系統)且連接至電腦系統CL (第三系統)。此「整體」環境之關鍵在於最佳化此等三個系統之間的合作以增強總體程序窗且提供嚴格控制迴路,從而確保由微影設備LA執行之圖案化保持在程序窗內。該程序窗界定一系列程序參數(例如劑量、焦點、疊對),在該等程序參數內,具體製造程序會產生經界定結果(例如功能性半導體裝置)-通常在該結果內,允許微影程序或圖案化程序中之程序參數變化。Typically, the patterning process in a lithography apparatus LA is one of the most critical steps in the process, requiring high accuracy in the dimensioning and placement of structures on the substrate W. To ensure this high accuracy, three systems can be combined in a so-called "holistic" control environment, as schematically depicted in FIG3 . One of these systems is the lithography apparatus LA, which is (in practice) connected to a metrology tool MT (a second system) and to a computer system CL (a third system). The key to this "holistic" environment is to optimize the cooperation between these three systems to enhance the overall process window and provide a tight control loop, thereby ensuring that the patterning performed by the lithography apparatus LA remains within the process window. The process window defines a range of process parameters (e.g., dose, focus, overlay) within which a specific fabrication process produces a defined result (e.g., a functional semiconductor device)—typically allowing process parameter variations in a lithography process or patterning process.
電腦系統CL可使用待圖案化之設計佈局(之一部分)以預測使用哪種解析度增強技術且執行計算微影模擬及計算以判定哪種光罩佈局及微影設備設定達成圖案化程序之最大總體程序窗(由第一標度SC1中之雙箭頭在圖3中描繪)。通常,解析度增強技術經配置以匹配微影設備LA之圖案化可能性。電腦系統CL亦可用以偵測微影設備LA當前正在程序窗內之哪處進行操作(例如使用來自度量衡工具MT之輸入)以預測缺陷是否可歸因於例如次佳處理而存在(由第二標度SC2中之指向「0」之箭頭在圖3中描繪)。Computer system CL can use (a portion of) the design layout to be patterned to predict which resolution enhancement technology to use and perform computational lithography simulations and calculations to determine which mask layout and lithography tool settings achieve the maximum overall process window for the patterning process (depicted in FIG3 by the double arrows in the first scale SC1). Typically, the resolution enhancement technology is configured to match the patterning capabilities of lithography tool LA. Computer system CL can also be used to detect where within the process window lithography tool LA is currently operating (e.g., using input from metrology tool MT) to predict whether defects are present, for example, due to suboptimal processing (depicted in FIG3 by the arrow pointing to "0" in the second scale SC2).
度量衡工具MT可將輸入提供至電腦系統CL以實現準確模擬及預測,且可將回饋提供至微影設備LA以例如在微影設備LA之校準狀態中識別可能漂移(由第三標度SC3中之多個箭頭在圖3中描繪)。The metrology tool MT may provide input to the computer system CL to enable accurate simulation and prediction, and may provide feedback to the lithography apparatus LA to, for example, identify possible drifts in the calibration state of the lithography apparatus LA (depicted in FIG. 3 by arrows in the third scale SC3).
在微影程序中,需要頻繁地對所產生結構進行量測,例如,用於程序控制及驗證。用以進行此類量測之工具通常被稱為度量衡工具MT。用於進行此類量測之不同類型的度量衡工具MT為吾人所知,包括掃描電子顯微鏡或各種形式之散射計度量衡工具MT。散射計為多功能儀器,其允許藉由在光瞳或與散射計之接物鏡之光瞳共軛的平面中具有感測器來量測微影程序之參數(量測通常被稱作以光瞳為基礎之量測),或藉由在影像平面或與影像平面共軛之平面中具有感測器來量測微影程序之參數,在此狀況下量測通常被稱作以影像或場為基礎之量測。以全文引用之方式併入本文中之專利申請案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中進一步描述此類散射計及相關聯量測技術。前述散射計可使用來自軟x射線及對近IR波長範圍可見的光來量測光柵。In lithography processes, measurements of the produced structures frequently need to be performed, for example, for process control and verification. The tool used to perform such measurements is usually called a metrology tool MT. Different types of metrology tools MT for performing such measurements are known, including scanning electron microscopes or various forms of scatterometer metrology tools MT. Scatterometers are versatile instruments that allow the measurement of parameters of the lithography process either by having a sensor in the pupil or in a plane conjugated to the pupil of the objective lens of the scatterometer (the measurement is usually called pupil-based metrology) or by having a sensor in the image plane or in a plane conjugated to the image plane, in which case the measurement is usually called image- or field-based metrology. Such scatterometers and related measurement techniques are further described in patent applications US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032, or EP1,628,164A, which are incorporated herein by reference in their entirety. These scatterometers can measure gratings using light from soft x-rays and light visible to the near IR wavelength range.
當監視微影程序時,需要監視微影程序參數,諸如描述微影射束在基板上之聚焦的聚焦參數。自印刷結構判定聚焦參數之一種已知方法係藉由基於微繞射之聚焦(μDBF)。基於微繞射之聚焦可使用倍縮光罩上之目標成形特徵,該等目標成形特徵印刷具有取決於在印刷期間之聚焦設定之不對稱程度的目標。可接著使用基於散射量測之檢測方法(例如)藉由量測自目標繞射之+1階輻射與-1階輻射之強度之間的強度不對稱性來量測此不對稱程度,以獲得聚焦設定之量度。聚焦相依不對稱性可藉由提供低於正用以曝光目標之微影設備之解析度極限的「子解析度特徵」(亦稱為子解析度輔助特徵)來達成。因為此等子解析度特徵低於此解析度極限,所以其實際上不形成於基板上,實情為,其影響被解析之其他(較大)鄰近特徵的形成。詳言之,藉由沿著較大特徵之僅一個壁置放此等子解析度特徵,此壁之壁形成(例如,側壁角度)將取決於焦點。以此方式,較大特徵之對稱性(或不對稱性)將為聚焦相依的。When monitoring a lithography process, it is necessary to monitor lithography process parameters, such as the focus parameter, which describes the focus of the lithography beam on the substrate. One known method for determining the focus parameter from a printed structure is by micro-diffraction-based focusing (μDBF). Micro-diffraction-based focusing can use target-forming features on a magnification reticle that print a target with a degree of asymmetry that depends on the focus setting during printing. This degree of asymmetry can then be measured using a scatterometry-based detection method, for example by measuring the intensity asymmetry between the intensities of the +1st-order radiation and the -1st-order radiation diffracted from the target, to obtain a measure of the focus setting. Focus-dependent asymmetry can be achieved by providing "sub-resolution features" (also called sub-resolution auxiliary features) that are below the resolution limit of the lithography tool being used to expose the target. Because these sub-resolution features are below this resolution limit, they are not actually formed on the substrate; instead, they affect the formation of other (larger) neighboring features that are resolved. Specifically, by placing these sub-resolution features along only one wall of a larger feature, the wall formation (e.g., sidewall angle) of this wall will depend on the focus. In this way, the symmetry (or asymmetry) of the larger feature will be focus-dependent.
此μDBF方法通常對DUV聚焦參數監視有效。然而,在EUV微影設備之情況下,微影設備之解析度較大,使得目標之較小特徵(例如輔助特徵)被解析,從而減弱來自此類目標之信號。又,EUV微影使用較薄抗蝕劑,其亦意謂信號對於EUV μDBF比對於DUV μDBF通常較弱。為了解決信號強度問題,下文稱為特徵偏置聚焦度量衡之替代EUV聚焦度量衡技術可使用包含一對聚焦子目標之目標,其中各子目標具有與焦點之熟知柏桑(Bossung)曲線關係,亦即,包含在最佳焦點處達到峰值之各別柏桑曲線,且其中該等子目標中之各者可形成有各別不同最佳焦點值及部分重疊之柏桑曲線。視情況,柏桑曲線關係中之各者除了最佳焦點偏移以外可實質上為類似的。This μDBF method is generally effective for DUV focus parameter monitoring. However, in the case of EUV lithography equipment, the higher resolution of the lithography equipment allows smaller features on the target (such as auxiliary features) to be resolved, thereby weakening the signal from such targets. Furthermore, EUV lithography uses thinner resists, which also means that the signal is generally weaker for EUV μDBF than for DUV μDBF. To address the signal strength issue, an alternative EUV focus metrology technique, hereinafter referred to as feature-biased focus metrology, may use a target comprising a pair of focus sub-targets, wherein each sub-target has a well-known Bossung curve relationship with focus, i.e., comprising a respective Bossung curve peaking at best focus, and wherein each of the sub-targets may form Bossung curves having respective different best focus values and partially overlapping. Optionally, each of the Bossung curve relationships may be substantially similar except for the best focus offset.
此後一度量衡技術可使用至少包含第一子目標及第二子目標之目標,該第一子目標及該第二子目標已形成為在兩個子目標之間具有最佳焦點偏移df。針對第一子目標及第二子目標中之各者,對子目標參數之量測值之聚焦回應可採取柏桑曲線之形式。焦點依據自第一子目標之量測獲得的子目標參數之第一量測值及自第二子目標之量測獲得的子目標參數之第二量測值而變化。因此,提議獲得來自第一子目標及第二子目標之參數之量測值,且自此等量測值導出焦點之值。量測參數可為強度參數,例如,至少一個繞射階(例如,零階及/或一或多個一階繞射階)之強度。可透過來自第一子目標之量測值與來自第二子目標之量測值之比較(例如,差) (視情況,除以兩個子目標之平均量測值)來獲得單調關係。A metrology technique may employ a target comprising at least a first sub-target and a second sub-target, wherein the first sub-target and the second sub-target are configured to have an optimal focus offset df between the two sub-targets. For each of the first sub-target and the second sub-target, a focusing response to a measured value of a sub-target parameter may take the form of a Bersong curve. The focus varies depending on a first measured value of the sub-target parameter obtained from a measurement of the first sub-target and a second measured value of the sub-target parameter obtained from a measurement of the second sub-target. Therefore, it is proposed to obtain measured values of the parameters from the first sub-target and the second sub-target and derive a focus value from these measured values. The measured parameter may be an intensity parameter, such as the intensity of at least one diffraction order (e.g., the zeroth order and/or one or more first-order diffraction orders). A monotonic relationship may be obtained by comparing (eg, the difference) the measurement from the first sub-goal to the measurement from the second sub-goal (and optionally dividing by the average measurement of the two sub-goals).
在一實施例中,可例如使用基於像散之聚焦(ABF)技術經由誘發之像散獲得最佳焦點偏移,其中可在成像透鏡及/或倍縮光罩中誘發像散。ABF描述於以引用之方式併入本文中之US2016363871A1中。誘發像散可為非理想的,此係因為其無法用於生產監視。因此,可使用其他方法以獲得合適的最佳焦點偏移,例如,就第一子目標與第二子目標之間的目標參數之差而言。In one embodiment, an optimal focus shift can be achieved through induced astigmatism, for example using an astigmatism-based focusing (ABF) technique. Astigmatism can be induced in the imaging lens and/or the refraction mask. ABF is described in US2016363871A1, which is incorporated herein by reference. Induced astigmatism can be nonideal, making it unusable for production monitoring. Therefore, other methods can be used to achieve a suitable optimal focus shift, for example, based on the difference in target parameters between the first and second sub-targets.
為了改良EUV層聚焦效能,一種方法可包含將大量(例如,數百或數千)聚焦目標印刷於EUV晶圓上且量測所有聚焦目標以尋找最佳效能目標。此蠻力方法並不始終保證將找到良好的聚焦目標設計,且係耗時且昂貴的。另一方法可針對各EUV層最佳化當前DUV目標設計。然而,此極緩慢,且同樣並不保證提供令人滿意的目標。To improve EUV layer focus performance, one approach can involve printing a large number (e.g., hundreds or thousands) of focus targets on the EUV wafer and measuring all of them to find the best-performing target. This brute-force approach doesn't always guarantee a good focus target design and is time-consuming and expensive. Another approach can optimize the current DUV target design for each EUV layer. However, this is extremely slow and, likewise, isn't guaranteed to provide a satisfactory target.
上文所描述的許多度量衡技術包含量測一階信號並查看其間的差異。因而,其並不保證不同度量衡工具之間的強度匹配。度量衡工具可能會受到工具之間及/或單一工具隨時間推移(例如,在基板之曝光期間)的劑量(曝光設備源強度)變化的影響。因此,量測信號可經正規化以實現工具匹配。如今,對於聚焦度量衡,用於產生聚焦靈敏度之相同目標亦用於正規化經量測信號。對於(μ)ABF及特徵偏置聚焦度量衡,量測參數值或第一子目標T1與第二子目標T2之間的強度度量不對稱性(強度不對稱性) 藉由兩個目標之總和強度度量(總和強度)經正規化: 。經量測強度度量中之各者可視情況包含來自各各別目標之兩個互補繞射階(例如,+1階及-1階)中之各者的強度之平均值。對於(μ)DBF,此意謂來自μDBF子目標之1階之間的強度度量不對稱性或強度不對稱性 藉由兩個1階之總和強度經正規化: 。 Many of the metrology techniques described above involve measuring first order signals and looking at the differences between them. Therefore, they do not guarantee intensity matching between different metrology tools. Metrology tools may be affected by variations in dose (exposure apparatus source intensity) between tools and/or within a single tool over time (e.g., during exposure of a substrate). Therefore, the measured signal may be normalized to achieve tool matching. Now, for focus metrology, the same targets used to generate focus sensitivity are also used to normalize the measured signal. For (μ)ABF and feature bias focus metrology, the parameter value or intensity metrology asymmetry (intensity asymmetry) between the first sub-target T1 and the second sub-target T2 is measured Normalized by the sum strength measure (sum strength) of the two objectives: Each of the measured strength metrics may optionally comprise the average of the strengths of each of the two complementary diffraction orders (e.g., +1 order and -1 order) from the respective targets. For (μ)DBF, this means the strength metric asymmetry or strength asymmetry between the order 1s of the μDBF subtargets. Normalized by the sum of two order 1 strengths: .
然而,因為抗蝕劑厚度對於EUV微影較小,所以經量測目標之信號強度/繞射效率(DE)較低。此低DE意謂當前的感測校準在校準強度誤差方面不夠有效。若該信號藉由總和強度經正規化,則經量測強度之任何一致誤差均包括在經量測不對稱性中,例如(在特徵偏置聚焦度量衡之上下文中): However, because the resist thickness is small for EUV lithography, the signal intensity/diffraction efficiency (DE) of the measured target is low. This low DE means that the current sensor calibration is not effective enough in calibrating the intensity error. If the signal is normalized by the summed intensity, any consistent error in the measured intensity is included in the measured asymmetry, for example (in the context of feature bias focus metrology):
為了降低對經量測強度之誤差的靈敏度,一個選項為提高目標之聚焦靈敏度。因此,強度誤差之相對(聚焦)影響將變得較小。在聚焦度量衡中,找到良好目標通常為對掃描器聚焦及劑量/能量之靈敏度之間的平衡。許多目前的聚焦靈敏度目標亦展示對劑量之較大靈敏度,且許多目前的目標選擇策略搜尋很大程度上抵消劑量靈敏度之目標組合。然而,經正規化不對稱性公式限制此行為,此係因為正規化可包含除以總和,使得劑量靈敏度仍包含在經量測不對稱性中,例如: 。 To reduce sensitivity to errors in measured intensity, one option is to increase the focus sensitivity of the target. Thus, the relative (focus) impact of intensity errors will become smaller. In focus metrology, finding a good target is often a balance between sensitivity to scanner focus and dose/energy. Many current focus-sensitive targets also exhibit a large sensitivity to dose, and many current target selection strategies search for target combinations that largely cancel out the dose sensitivity. However, the normalized asymmetry formula restricts this behavior because the normalization may involve dividing by a sum so that the dose sensitivity is still included in the measured asymmetry, for example: .
亦可能需要解開聚焦及劑量靈敏度及/或個別地量測(掃描器)聚焦及(掃描器)劑量。此允許使用經量測聚焦及劑量值來改良在曝光期間對聚焦及劑量之控制。It may also be desirable to untangle focus and dose sensitivity and/or to measure (scanner) focus and (scanner) dose separately. This allows using the measured focus and dose values to improve control of focus and dose during exposure.
重影或雜散光校準,例如,對感測器光學件內的「重影」或雜散光之校準,應對(例如,生產)晶圓執行。然而,生產晶圓上不一定有專用空間可用於此重影校準,且替代地使用度量衡工具基準件來近似誤差。此可為不準確的,甚至會增加誤差偏移。Ghost or stray light calibration, for example, calibration for "ghost" or stray light within the sensor optics, should be performed on (e.g., production) wafers. However, there may not be dedicated space on the production wafer for this ghost calibration, and metrology tool fiducials are used instead to approximate the error. This can be inaccurate and even increase the error offset.
本文中所揭示的概念係關於聚焦(且視情況劑量)度量衡之方法,及/或係關於用於聚焦(且視情況劑量)度量衡之目標選擇之方法。詳言之,本文中所揭示之方法可解決上文所描述的問題中之一或多者。The concepts disclosed herein relate to methods for focusing (and optionally dosing) metrics and/or methods for target selection for focusing (and optionally dosing) metrics. Specifically, the methods disclosed herein can address one or more of the problems described above.
在一實施例中,該方法可包含提供一或多個正規化子目標,且使用此等正規化子目標之量測以正規化聚焦(且視情況劑量)量測。一或多個正規化子目標可為專用正規化子目標,使得來自該(等)正規化子目標之量測資料(例如,經量測信號)係用於正規化之唯一目的。此類一或多個正規化子目標可各自包含對掃描器聚焦不具有靈敏度或具有最小靈敏度(例如,低於臨限值聚焦靈敏度)之設計。一或多個正規化子目標亦可對掃描器劑量不包含靈敏度或包含最小靈敏度,例如,低於臨限值劑量靈敏度。此可為其中僅提供單一正規化子目標之狀況。替代地或另外,在提供一對正規化子目標之情況下,其可具有實質上相同的劑量靈敏度,使得可獲取兩個目標上之信號差,其中抵消劑量靈敏度。在任一狀況下,此聚焦臨限值可參考一或多個聚焦靈敏度目標之聚焦靈敏度或其差異之聚焦靈敏度來設定。舉例而言,最大臨限值可為透過分母(例如, )相對於分子 之聚焦的信號改變之5%、10%、15%或20%。在另一實施例中,此等聚焦及/或劑量臨限值可包含正規化第二差量係數臨限值 及正規化第三差量係數臨限值 。此稍後將較詳細地加以描述。 In one embodiment, the method may include providing one or more normalization sub-targets and using measurements from these normalization sub-targets to normalize focus (and optionally dose) measurements. One or more normalization sub-targets may be dedicated normalization sub-targets, such that measurement data (e.g., measured signals) from the normalization sub-target(s) are used solely for normalization. Each of these one or more normalization sub-targets may include a design that has no sensitivity or minimal sensitivity (e.g., below a threshold focus sensitivity) to scanner focus. One or more normalization sub-targets may also include no sensitivity or minimal sensitivity (e.g., below a threshold dose sensitivity) to scanner dose. This may be the case where only a single normalization sub-target is provided. Alternatively or additionally, in the case of providing a pair of normalized sub-targets, they may have substantially the same dose sensitivity, such that a signal difference may be obtained on the two targets, wherein the dose sensitivity cancels out. In either case, the focus threshold may be set with reference to the focus sensitivity of one or more focus sensitivity targets or the focus sensitivity of their differences. For example, the maximum threshold may be obtained by dividing the denominator (e.g., ) relative to the molecule In another embodiment, the focus and/or dose thresholds may include normalized second difference coefficient thresholds. and the normalized third difference coefficient threshold This will be described in more detail later.
一或多個正規化子目標可緊鄰單一目標中之一或多個聚焦敏感子目標置放,使得可在單次捕捉中量測完整目標。One or more normalization sub-targets may be placed adjacent to one or more focus-sensitive sub-targets within a single target, allowing the entire target to be measured in a single capture.
圖4(a)為用於特徵偏置或ABF度量衡之例示性目標設計。該目標包含第一子目標T1及第二子目標T2,例如,兩個聚焦敏感子目標(例如,包含其間的最佳焦點偏移,如已經描述的)。該目標進一步包含至少一個第三子目標或正規化子目標,且在此特定實例中,包含兩個第三子目標或正規化子目標T3、T4。圖4(b)展示了另一目標設計,其中第一及第二子目標或聚焦敏感子目標T1、T2之區域經分割以在其間容納第三/正規化子目標T3、T4。其他佈局係可能的。舉例而言,經揭示之概念可與μDBF度量衡中之μDBF目標一起使用(在此狀況下,僅嚴格地需要一個聚焦敏感子目標,但兩個鏡像子目標可為較佳的)。Figure 4(a) illustrates an exemplary target design for feature bias or ABF metrology. The target includes a first sub-target, T1, and a second sub-target, T2, e.g., two focus-sensitive sub-targets (e.g., including an optimal focus offset between them, as already described). The target further includes at least one third sub-target, or normalization sub-target, and in this particular example, two third sub-targets, or normalization sub-targets, T3 and T4. Figure 4(b) illustrates another target design in which the regions of the first and second sub-targets, or focus-sensitive sub-targets, T1 and T2, are partitioned to accommodate the third/normalization sub-target, T3 and T4, between them. Other layouts are possible. For example, the disclosed concepts can be used with μDBF targets in μDBF metrology (in this case, only one focus-sensitive sub-target is strictly required, but two mirror sub-targets may be preferred).
此等正規化子目標使得經正規化聚焦敏感不對稱性信號能夠經判定為(例如,在特徵偏置實例中): 。 其中 T1 、 T2為兩個聚焦敏感子目標之各別強度,且 T3 、 T4為兩個正規化子目標之各別強度。假定在單次獲取中量測所有子目標,則將自動地校準一致誤差: 。 These normalization sub-objectives enable the normalized focus-sensitive asymmetry signal to be determined as (e.g., in the feature bias example): Where T1 , T2 are the respective intensities of the two focus-sensitive sub-targets, and T3 , T4 are the respective intensities of the two normalized sub-targets. Assuming that all sub-targets are measured in a single acquisition, the uniformity error will be automatically calibrated: .
類似地,在該方法包含μDBF度量衡技術之情況下,經正規化聚焦敏感不對稱性信號可經計算為例如: 在提供兩個聚焦敏感子目標及兩個經正規化子目標之情況下,可組合其不對稱性量測。 Similarly, in the case where the method includes μDBF metrology techniques, the normalized focus-sensitive asymmetry signal can be calculated as, for example: Given two focus-sensitive sub-targets and two normalized sub-targets, their asymmetry measures can be combined.
在一實施例中,可選擇兩個正規化子目標,使得其各自具有與兩個聚焦敏感子目標類似的劑量靈敏度,以便更有效地抵消劑量串擾: 。 In one embodiment, two normalized sub-targets may be selected such that each has a dose sensitivity similar to that of the two focus-sensitive sub-targets in order to more effectively cancel dose crosstalk: .
因為此方法實現了聚焦子目標之劑量串擾之校準,所以其亦實現了聚焦度量衡及劑量度量衡兩者。藉由組合此等目標與聚焦靈敏度不對稱性(其對劑量敏感)之習知計算,例如, ,可提取聚焦資訊及劑量資訊兩者。 Because this method achieves calibration of the dose perturbations of the focus sub-targets, it also achieves both focus metrology and dose metrology. By combining these targets with the learned calculation of the focus sensitivity asymmetry (which is sensitive to dose), e.g. , both focus information and dosage information can be extracted.
現將描述較穩固的聚焦及劑量度量衡方法以及目標選擇方法,該方法亦利用正規化子目標。該方法可使用諸如圖5中所繪示之目標,其包含第一子目標B、第二子目標C、第三子目標D及第四子目標A。再次,該目標可經組態以在單次量測獲取中經捕捉。A more robust focusing and dosing metrology method and target selection method will now be described, which also utilizes normalized sub-targets. This method can use targets such as those shown in Figure 5, which include a first sub-target B, a second sub-target C, a third sub-target D, and a fourth sub-target A. Again, the targets can be configured to be captured in a single measurement acquisition.
經描述的概念可基於特徵偏置概念,且因而可包含很大程度上或實質上對稱的子目標(例如,所有子目標可為實質上對稱的)。該等子目標可包含兩個實質上聚焦敏感子目標:第一子目標B及第二子目標C,以及兩個實質上聚焦不敏感子目標:第三子目標D及第四子目標A。該目標可經組態以產生第一及第二聚焦敏感信號。在此方法中,提議第一聚焦敏感(及劑量敏感)信號 Signal 1及第二聚焦敏感(及劑量敏感)信號 Signal 2可經界定為: , 其中 、 、 、 分別為分別來自子目標 A 、 B 、 C及 D之第四量測參數值、第一量測參數值、第二量測參數值及第三量測參數值或強度度量。該強度度量可包含至少一階的強度(例如,由各子目標散射之輻射之零階或經繞射非零階)。在一實施例中,經量測強度度量中之各者可包含自各別子目標繞射之兩個互補繞射階(例如,+1繞射階及-1繞射階)中之各者的強度之平均值,例如: 。 The described concept can be based on the concept of feature bias and thus can include largely or substantially symmetric sub-targets (e.g., all sub-targets can be substantially symmetric). The sub-targets can include two substantially focus-sensitive sub-targets: a first sub-target B and a second sub-target C, and two substantially focus-insensitive sub-targets: a third sub-target D and a fourth sub-target A. The targets can be configured to generate first and second focus-sensitive signals. In this method, it is proposed that the first focus-sensitive (and dose-sensitive) signal Signal 1 and the second focus-sensitive (and dose-sensitive) signal Signal 2 can be defined as: , in 、 、 、 The fourth measurement parameter value, the first measurement parameter value, the second measurement parameter value, and the third measurement parameter value or intensity metric from sub-targets A , B , C , and D , respectively. The intensity metric may include at least one order of intensity (e.g., the zero order of radiation scattered by each sub-target or a diffracted non-zero order). In one embodiment, each of the measured intensity metrics may include the average of the intensities of two complementary diffraction orders (e.g., the +1 diffraction order and the -1 diffraction order) of radiation diffracted from the respective sub-target, for example: .
以此方式,第一信號可為諸如來自第四子目標A及來自第一子目標B之強度度量之量測參數的差(例如,A-B),且第二信號可為諸如來自第四子目標A及來自第二子目標C之強度度量之量測參數的差(例如,A-C),各信號係藉由來自第四子目標A之量測/強度度量與來自第三子目標D之量測/強度度量之差來正規化。在所有項中,第四子目標A之量測之存在解決了雜散光偏移,而第三子目標D可為正規化子目標。In this way, a first signal may be the difference of measurement parameters such as the intensity metric from the fourth sub-target A and the first sub-target B (e.g., A-B), and a second signal may be the difference of measurement parameters such as the intensity metric from the fourth sub-target A and the second sub-target C (e.g., A-C), each signal normalized by the difference of the measurement/intensity metric from the fourth sub-target A and the measurement/intensity metric from the third sub-target D. In all cases, the presence of the measurement of the fourth sub-target A accounts for stray light offsets, and the third sub-target D may be the normalizing sub-target.
在此方法中,校準可在校準或配方最佳化階段中執行,此透過變化的劑量及變化的聚焦(例如,在所關注的劑量範圍及所關注的聚焦範圍內)校準第一信號 Signal 1 及第二信號 Signal 2 ,以獲得最佳化信號資料 (亦即,第一最佳化信號資料 及第二最佳化信號資料 )。舉例而言,最佳化信號資料 可包含經量測 及 透過劑量及聚焦之2D擬合。在生產或監視階段中,接著可藉由找到最小化量測或監視信號資料 與最佳化信號資料 之比較的聚焦及劑量來推斷劑量及聚焦: 此最小化可包含找到具有期望趨勢之至少一個局域誤差最小值,例如,在預期聚焦及/或劑量值周圍及/或在聚焦及劑量之預期範圍內。此可針對一或多個量測條件(例如,波長及偏振組合)進行。舉例而言, 及 可與多於一個量測條件相關。 In this method, calibration can be performed in a calibration or recipe optimization phase, which calibrates the first signal Signal 1 and the second signal Signal 2 with varying doses and varying focuses (e.g., within a dose range of interest and a focus range of interest) to obtain optimized signal data. (That is, the first optimized signal data and the second optimized signal data ). For example, optimizing signal data May include measured and Through 2D fitting of dose and focus, in the production or monitoring phase, the measurement or monitoring signal data can then be minimized. and optimized signal data Comparison of focus and dose to infer dose and focus: This minimization may include finding at least one local error minimum with a desired trend, for example, around expected focus and/or dose values and/or within an expected range of focus and dose. This may be done for one or more measurement conditions (e.g., wavelength and polarization combinations). For example, and Can be associated with more than one measurement condition.
現將描述用於自候選子目標設計庫選擇子目標A、B、C、D中之各者的目標設計方法。該等候選子目標可包含圖6中所繪示之一般形式的設計。在圖6(a)中,該目標可包含可由度量衡工具偵測的一光學間距P op上之分段特徵。特徵之分段可形成一分段間距(或類產品間距,例如,其具有大小與產品特徵類似的間距) P p,其中各分段子特徵具有一類產品關鍵尺寸(CD) CD p。圖6(b)展示一類似配置,但其中各分段子特徵進一步在y方向上實施子分段以便模擬一接觸孔配置。圖6(c)再次展示一類似配置,但其中在相鄰分段子特徵之間存在一週期性y偏移,從而產生斜置或傾斜的一分段間距P p。分段間距P p的角度可為例如30、45或60度。 A target design method for selecting each of subtargets A, B, C, and D from a library of candidate subtarget designs will now be described. The candidate subtargets may comprise a design of the general form depicted in FIG6 . In FIG6( a ), the target may comprise segmented features at an optical spacing Pop detectable by metrology tools. The segmentation of the features may form a segment spacing (or a quasi-product spacing, e.g., a spacing having a size similar to that of a product feature) P p , where each segmented subfeature has a quasi-product critical dimension (CD) CD p . FIG6( b ) shows a similar configuration, but where each segmented subfeature is further subsegmented in the y-direction to simulate a contact hole configuration. Figure 6(c) shows a similar configuration again, but with a periodic y-offset between adjacent segmented sub-features, resulting in a tilted or inclined segment spacing Pp . The segment spacing Pp can be, for example, 30, 45, or 60 degrees.
候選子目標庫可包含諸如此等(及其他)的設計之許多變化,其中尤其以下各者中之一或多者:光學間距、在x及/或y上之分段間距、分段y偏移、分段CD可遍及候選子目標庫變化。舉例而言,候選子目標庫之數量可大於40、大於50、大於60、大於70、大於80或大於90。The candidate sub-target library may include many variations of these (and other) designs, wherein one or more of the following, in particular, the optical pitch, the segment pitch in x and/or y, the segment y offset, and the segment CD may vary throughout the candidate sub-target library. For example, the number of candidate sub-targets in the library may be greater than 40, greater than 50, greater than 60, greater than 70, greater than 80, or greater than 90.
圖7為描述所提議目標設計方法的流程圖。(例如,虛擬地,亦即在模擬中)獲得一候選子目標庫700,且(例如,再次虛擬地或在模擬中)量測候選子目標庫,以針對聚焦度量(例如,掃描器聚焦)及劑量度量(例如,掃描器劑量)之不同值獲得用於各候選子目標之各別量測參數或強度度量值。所得量測參數資料或強度度量資料可視情況進一步與一或多個量測條件(例如,不同波長及/或偏振)相關。FIG7 is a flow chart describing the proposed target design method. A library of candidate sub-targets 700 is obtained (e.g., virtually, i.e., in simulation), and the library of candidate sub-targets is measured (e.g., again virtually or in simulation) to obtain a respective measurement parameter or intensity metric value for each candidate sub-target for different values of a focus metric (e.g., scanner focus) and a dose metric (e.g., scanner dose). The obtained measurement parameter data or intensity metric data can optionally be further correlated with one or more measurement conditions (e.g., different wavelengths and/or polarizations).
在步驟705處,將強度度量值與一2D曲線進行擬合以獲得每候選子目標之強度 的一2D擬合。該擬合可根據六個擬合係數(一常數係數 、一劑量係數 、一聚焦係數 、聚焦劑量乘積係數 、一聚焦平方係數 及一聚焦平方劑量乘積係數 )進行,例如: 其中 m為不同候選子目標編索引。 In step 705, the strength metric is fitted to a 2D curve to obtain the strength of each candidate sub-target. The fitting can be done based on six fitting coefficients (a constant coefficient , a dose factor , a focusing factor , focused dose product coefficient , a focusing square coefficient and a focused squared dose product coefficient ), for example: Where m is the index of different candidate sub-goals.
在步驟710處,判定差量信號之差量係數。對於所有唯一的雙重候選子目標組合(例如,對於大約100個候選子目標,大約5000),一第一差量係數 [單位:繞射效率DE (在模擬中)或灰階GL (在現實中)]、一第二差量係數 [單位:DE/nm或GL/nm]及一第三差量係數 [單位:DE/nm 2或GL/nm 2]可經判定為: 其中 表示標稱掃描器曝光劑量,且 表示一第一候選子目標 m與一第二候選子目標 k之組合。 At step 710, the difference coefficients of the difference signal are determined. For all unique dual candidate sub-goal combinations (e.g., about 5000 for about 100 candidate sub-goals), a first difference coefficient [Unit: diffraction efficiency DE (in simulation) or gray level GL (in reality)], a second difference coefficient [Unit: DE/nm or GL/nm] and a third difference coefficient [Unit: DE/nm 2 or GL/nm 2 ] can be determined as: in represents the nominal scanner exposure dose, and represents the combination of a first candidate sub-target m and a second candidate sub-target k .
在步驟715處,判定第四子目標(子目標A)與第一子目標(子目標B)及第二子目標(子目標C)之組合的目標組合,使得其產生具有所要特性之 Signal 1及 Signal 2。一個所要特性可為組合對 、 之各組合之間的差足以使得子目標組合透過聚焦具有不同傾斜度(其中 m 、 k及 k '分別為第四子目標、第一子目標及第二子目標編索引)。傾斜可指第二差量係數 (線性係數)相對於第三差量係數 (二次係數)之強度。舉例而言,若 ,則透過聚焦之傾斜強於透過聚焦之曲率。另一所要特性可為組合對 、 之各組合具有大致相同強度。 At step 715, the target combination of the fourth sub-target (sub-target A) and the combination of the first sub-target (sub-target B) and the second sub-target (sub-target C) is determined so that it generates Signal 1 and Signal 2 with desired characteristics. A desired characteristic can be a combination of 、 The difference between each combination of is sufficient to make the sub-target combination have different tilts through focusing (where m , k and k ' are indexed for the fourth sub-target, the first sub-target and the second sub-target respectively). Tilt can be referred to as the second difference coefficient (Linear coefficient) relative to the third difference coefficient (quadratic coefficient). For example, if , then the tilt through focusing is stronger than the curvature through focusing. Another desirable characteristic may be the combination of 、 The combinations have roughly the same strength.
因而,此步驟715可包含針對每個第四子目標設計找到滿足下式的 k及 k'之唯一組合: 關於 之檢查確保兩個目標組合具有(大約)相同的強度。關於 之檢查確保目標組合具有透過聚焦之不同傾斜度(例如,足夠大的最佳焦點偏移)。 為第一差量係數臨限值,且 為第二差量係數臨限值。 Thus, this step 715 may include finding, for each fourth subgoal design, a unique combination of k and k' that satisfies the following equation: About The check ensures that both target combinations have (approximately) the same strength. Check to ensure that the target combination has different tilts through focus (e.g., sufficiently large optimal focus offset). is the first difference coefficient threshold, and is the second difference coefficient threshold.
在步驟720處,判定待用於正規化之候選子目標。先前步驟715的結果為第四子目標、第一子目標及第二子目標之候選子目標的合適的組合之清單(例如,各合適的第四子目標之合適的第一及第二子目標)。此步驟旨在找到各第四子目標A之滿足以下準則的第三子目標或正規化子目標(子目標D): [以確保不除以太小的數字] 且[以確保正規化透過劑量/聚焦足夠恆定] [以確保正規化透過劑量/聚焦足夠恆定] 其中 m為第四子目標(目標A)編索引,且 k為第三子目標(目標D)編索引。 為正規化第一差量係數臨限值, 為正規化第二差量係數臨限值,且 為正規化第三差量係數臨限值。此會產生每子目標A將使用的候選子目標數量的清單,以用於正規化。 At step 720, candidate sub-goals to be used for normalization are determined. The result of the previous step 715 is a list of suitable combinations of candidate sub-goals for the fourth sub-goal, the first sub-goal, and the second sub-goal (e.g., suitable first and second sub-goals for each suitable fourth sub-goal). This step aims to find a third sub-goal or normalization sub-goal (sub-goal D) for each fourth sub-goal A that meets the following criteria: [To ensure not to divide by too small a number] and [to ensure regularity through adequate consistency of dosage/focus] [To ensure that normalization is sufficiently constant through dose/focus] where m is the index of the fourth sub-goal (Goal A) and k is the index of the third sub-goal (Goal D). is the normalized first difference coefficient threshold, is the normalized second difference coefficient threshold, and is the normalization third difference coefficient threshold. This generates a list of candidate subgoals to be used for each subgoal A for regularization.
在步驟725處,組合步驟715及720之結果,且可評估關鍵效能指示符(KPI)以識別一或多個可行組合,例如,一或多個可行四個子目標組合,其可用作可稱為可行目標的目標。步驟715產生每候選第四子目標的第一及第二子目標之可行候選子目標組合之清單,且步驟720產生每第四子目標的可行候選第三(正規化)子目標之清單。可行在此上下文中描述滿足在步驟715及720中概述的準則之子目標及其組合。At step 725, the results of steps 715 and 720 are combined and key performance indicators (KPIs) can be evaluated to identify one or more feasible combinations, for example, one or more feasible four-subgoal combinations that can be used as goals that can be referred to as feasible goals. Step 715 generates a list of feasible candidate subgoal combinations of the first and second subgoals for each candidate fourth subgoal, and step 720 generates a list of feasible candidate third (normalized) subgoals for each fourth subgoal. Feasible in this context describes subgoals and combinations thereof that meet the criteria outlined in steps 715 and 720.
步驟725可進一步劃分成圖8之流程圖。在步驟800處,判定且建構(例如,取決於此步驟是否經模擬或基於實際量測來模型化或曝光)可行目標之所有候選者,亦即,可行候選目標;亦即,滿足在步驟715及720中概述的準則之(四個子目標A、B、C、D之)所有子目標組合。在步驟805處,可在所關注的聚焦範圍及劑量範圍內產生最佳化信號 ,其中n=1及2 (亦即,如已經描述的第一信號及第二信號)。在步驟810處,可在2D聚焦/劑量柵格上計算監視信號 。在步驟815處,最小化自最佳化信號及監視信號之差異導出的誤差信號,以找到用於各可行候選目標的聚焦值及劑量值聚焦 get、劑量 get。此可使用用於上文所敍述之 之等式。在步驟820處,可根據獲得值及對應的設定值(亦即,如在模擬中或在掃描器上設定),計算聚焦靈敏度FS及劑量靈敏度DS。聚焦靈敏度FS及劑量靈敏度DS可根據信號資料與聚焦及劑量之關係來判定;此可描述判定信號如何隨著聚焦及/或劑量變化。在步驟825處,可例如根據以下KPI評估各候選目標:2D柵格上的最大劑量/聚焦設定獲得誤差以及2D柵格上的最小DS/FS。 Step 725 can be further divided into the flow chart of Figure 8. At step 800, all candidates for feasible goals are determined and constructed (e.g., depending on whether this step is simulated or modeled or exposed based on actual measurements), that is, feasible candidate goals; that is, all combinations of sub-goals (of the four sub-goals A, B, C, D) that meet the criteria outlined in steps 715 and 720. At step 805, an optimization signal can be generated within the focus range and dose range of interest. , where n = 1 and 2 (i.e., the first signal and the second signal as already described). At step 810, the monitoring signal may be calculated on the 2D focus/dose grid. At step 815, the error signal derived from the difference between the optimization signal and the monitoring signal is minimized to find the focus value and dose value focus get , dose get for each feasible candidate target. This can be done using the method described above. At step 820, focus sensitivity FS and dose sensitivity DS can be calculated based on the acquired values and the corresponding set values (i.e., as set in simulation or on the scanner). Focus sensitivity FS and dose sensitivity DS can be determined based on the relationship between the signal data and focus and dose; this describes how the determined signal changes with focus and/or dose. At step 825, each candidate target can be evaluated based on the following KPIs, for example: maximum dose/focus setting acquisition error on the 2D grid and minimum DS/FS on the 2D grid.
在此步驟處選擇的目標可滿足以下準則: 其中 為劑量獲得設定誤差臨限值, 為聚焦獲得設定誤差臨限值, 為聚焦靈敏度臨限值,且 為劑量靈敏度臨限值。 The goals you choose at this step can meet the following criteria: in Set error thresholds for dose acquisition. Set the error threshold for focus acquisition. is the focus sensitivity threshold, and This is the dose sensitivity limit.
所選擇的實際目標可為此等三個準則的較佳折衷及/或最佳折衷、用於所有三個準則(若存在一個)的最佳執行目標,或滿足此等準則之任何目標(可能考慮例如與設計規則或較佳配置相關的一或多個次級準則)。視情況,取決於劑量度量衡之重要性來評估劑量靈敏度及/或劑量誤差(亦即,上文所描述的推斷擬合可視情況使用標稱劑量值僅針對聚焦進行)。有可能在選擇折衷時,此等準則可具有不同的重要性,例如,聚焦靈敏度可被視為最重要且優先的(例如,所選擇的目標可為滿足相關準則的目標中最聚焦敏感的)。The actual target selected may be the best compromise and/or optimal compromise of these three criteria, the best performance target for all three criteria (if any), or any target that satisfies these criteria (possibly taking into account one or more secondary criteria related to, for example, design rules or optimal configurations). Optionally, dose sensitivity and/or dose error are evaluated depending on the importance of the dose metrics (i.e., the extrapolated fit described above may be performed only for focus using nominal dose values). It is possible that these criteria may have different importance when selecting a compromise, for example, focus sensitivity may be considered the most important and prioritized (e.g., the target selected may be the most focus-sensitive of the targets that meet the relevant criteria).
上文所描述的模擬中之任一者可使用能夠模擬將圖案化裝置圖案轉印至基板之抗蝕劑層上的微影程序之計算微影模組及能夠模擬該圖案化裝置圖案上之度量衡操作之計算度量衡模組來執行。Any of the simulations described above can be performed using a computational lithography module capable of simulating a lithography process for transferring a patterned device pattern onto a resist layer of a substrate and a computational metrology module capable of simulating metrology operations on the patterned device pattern.
在一些實施例中,系統之最佳化程序可表示為成本函數。最佳化程序可包含找到最小化成本函數之系統之參數集合(設計變數、程序變數、檢測操作變數等)。如本文中所使用之術語「設計變數」包含微影投影設備或微影程序之參數集合,例如,微影投影設備之使用者可調整之參數,或使用者可藉由調整彼等參數而調整之影像特性。應瞭解,微影投影程序之任何特性(包括源、圖案化裝置、投影光學件之特性,或抗蝕劑特性)可在最佳化中之設計變數當中。成本函數可取決於最佳化之目標而具有任何合適形式。舉例而言,成本函數可為系統之某些特性(評估點)相對於此等特性之預期值(例如理想值)之偏差的加權均方根(RMS)。成本函數可常常為設計變數之非線性函數。接著可使用標準最佳化技術以最小化成本函數。In some embodiments, the optimization process of the system can be expressed as a cost function. The optimization process can include finding a set of parameters of the system (design variables, process variables, detection operation variables, etc.) that minimizes the cost function. The term "design variables" as used herein includes a set of parameters of the lithography projection device or the lithography process, for example, user-adjustable parameters of the lithography projection device, or image characteristics that the user can adjust by adjusting those parameters. It should be understood that any characteristics of the lithography projection process (including characteristics of the source, patterning device, projection optical components, or anti-etching agent characteristics) can be among the design variables in the optimization. The cost function can have any suitable form depending on the goal of the optimization. For example, the cost function can be the weighted root mean square (RMS) of the deviation of certain characteristics (evaluation points) of the system relative to the expected values of these characteristics (e.g., ideal values). The cost function can often be a nonlinear function of the design variables. Standard optimization techniques can then be used to minimize the cost function.
另一實施例為設計聚焦目標之方法。該方法包含以下步驟:1)獲得候選子目標庫;2)獲得在所關注聚焦範圍及所關注劑量範圍內的用於該候選子目標庫中之各候選子目標的量測參數資料;3)針對每個候選子目標在聚焦及劑量上擬合該量測參數資料;以及4)判定一或多個目標,各目標包含候選子目標之組合。Another embodiment is a method for designing a focus target. The method includes the following steps: 1) obtaining a library of candidate sub-targets; 2) obtaining measurement parameter data for each candidate sub-target in the library within a desired focus range and a desired dose range; 3) fitting the measurement parameter data in terms of focus and dose for each candidate sub-target; and 4) determining one or more targets, each target comprising a combination of candidate sub-targets.
另一實施例為用於判定微影程序之參數之量測目標,視情況,該參數為該微影程序之聚焦(視情況,聚焦及劑量)。該目標包含至少第一子目標、第二子目標、第三子目標及第四子目標。該等子目標中之各者具有不同於其他目標的設計。視情況,該等子目標為很大程度上或實質上對稱的子目標(例如,所有子目標可為實質上對稱的)。該等子目標可包含用於該參數之兩個實質上敏感的子目標:例如第一子目標B及第二子目標C,以及用於該參數之兩個實質上不敏感的子目標:例如第三子目標D及第四子目標A。該微影程序之參數係基於第一子目標、第二子目標、第三子目標及第四子目標之量測參數資料而判定。Another embodiment is a metrology target for determining a parameter of a lithography process, optionally the parameter being focus (or optionally focus and dose) of the lithography process. The target includes at least a first sub-target, a second sub-target, a third sub-target, and a fourth sub-target. Each of the sub-targets has a different design than the other targets. Optionally, the sub-targets are largely or substantially symmetric (e.g., all sub-targets may be substantially symmetric). The sub-targets may include two sub-targets that are substantially sensitive to the parameter, such as a first sub-target B and a second sub-target C, and two sub-targets that are substantially insensitive to the parameter, such as a third sub-target D and a fourth sub-target A. Parameters of the lithography process are determined based on the measurement parameter data of the first sub-target, the second sub-target, the third sub-target, and the fourth sub-target.
另一實施例為一種設計用於量測第一參數之目標之方法,且該目標包含多個子目標。該方法包含獲得如在以上實施例中提及的候選子目標庫。該方法可包含獲得量測參數資料,該量測參數資料包含在第一參數之所關注範圍及第二參數之所關注範圍內的用於該候選子目標庫中之各候選子目標之各別量測參數值。該量測參數資料可包含矩陣,且該矩陣可為2D矩陣。該矩陣可依據第一參數及第二參數而變化。該方法可包含判定一或多個可行目標,其中各可行目標包含候選子目標之可行組合,使得該矩陣具有在第一參數之所關注範圍及第二參數之所關注範圍內的單一全域最小值或最大值。該目標可用於量測第一參數及第二參數兩者。該量測參數資料可包含與至少第一子目標相關的第一量測參數值,及與至少第二子目標相關的第二量測參數值。舉例而言,第一子目標為子目標 T1或子目標 B,且第二子目標為子目標 T2或子目標 C。第一參數可根據第一量測參數值與第二量測參數值之差及/或比較來判定。該目標可進一步包含第三子目標及該量測參數資料,該量測參數資料包含與至少第三子目標相關的第三量測參數值。舉例而言,該第三子目標為子目標 T3或子目標 D。該目標可進一步包含第四子目標及該量測參數資料,該量測參數資料包含與至少第四子目標相關的第四量測參數值。舉例而言,第四子目標為子目標 T4或子目標 A。該等子目標可包含用於第一參數之兩個實質上敏感的子目標,及用於第一參數之兩個實質上不敏感的子目標。第一參數可為微影程序之參數,例如聚焦參數。該方法可進一步包含使用能夠模擬將圖案化裝置圖案轉印至基板之抗蝕劑層上的微影程序之計算微影模組,及能夠模擬圖案化裝置圖案上之度量衡操作之計算度量衡模組。該圖案化裝置圖案可用於圖案化目標。第二參數可為劑量參數。該等子目標中之各者可為實質上對稱的。該等子目標中之各者可具有不同於其他目標的設計。所有子目標可在單次獲取中被量測。各量測參數值可包含強度度量。該強度度量可包含來自各該子目標之互補繞射階之各別強度的平均值。除了聚焦及/或劑量之外,其他參數,例如抗蝕劑厚度及/或目標頂部表面粗糙度,不應影響單一全域最小值或最大值之聚焦值及劑量值,及/或不應影響在聚焦之所關注範圍及劑量之範圍內的單一全域最小值或最大值。 Another embodiment provides a method for designing a target for measuring a first parameter, wherein the target includes multiple sub-targets. The method includes obtaining a library of candidate sub-targets as described in the above embodiments. The method may include obtaining measurement parameter data, wherein the measurement parameter data includes respective measurement parameter values for each candidate sub-target in the library within a range of interest for the first parameter and a range of interest for a second parameter. The measurement parameter data may include a matrix, and the matrix may be a 2D matrix. The matrix may vary depending on the first parameter and the second parameter. The method may include determining one or more feasible goals, wherein each feasible goal includes a feasible combination of candidate sub-goals such that the matrix has a single global minimum or maximum value within a range of interest for the first parameter and a range of interest for the second parameter. The goal may be used to measure both the first parameter and the second parameter. The measured parameter data may include a first measured parameter value associated with at least a first sub-goal and a second measured parameter value associated with at least a second sub-goal. For example, the first sub-goal is sub-goal T1 or sub-goal B , and the second sub-goal is sub-goal T2 or sub-goal C. The first parameter may be determined based on a difference and/or comparison between the first measured parameter value and the second measured parameter value. The target may further include a third sub-target and the measurement parameter data, the measurement parameter data including a third measurement parameter value associated with at least the third sub-target. For example, the third sub-target is sub-target T3 or sub-target D. The target may further include a fourth sub-target and the measurement parameter data including a fourth measurement parameter value associated with at least the fourth sub-target. For example, the fourth sub-target is sub-target T4 or sub-target A. The sub-targets may include two sub-targets that are substantially sensitive to the first parameter and two sub-targets that are substantially insensitive to the first parameter. The first parameter may be a parameter of a lithography process, such as a focus parameter. The method may further include using a computational lithography module capable of simulating a lithographic process for transferring a patterned device pattern onto a resist layer of a substrate, and a computational metrology module capable of simulating metrological operations on the patterned device pattern. The patterned device pattern may be used to pattern a target. The second parameter may be a dose parameter. Each of the sub-targets may be substantially symmetric. Each of the sub-targets may have a design different from that of the other targets. All sub-targets may be measured in a single acquisition. Each measured parameter value may include an intensity metric. The intensity metric may include an average of respective intensities of complementary diffraction orders from each of the sub-targets. Parameters other than focus and/or dose, such as resist thickness and/or target top surface roughness, should not affect the single global minimum or maximum focus and dose values and/or should not affect the single global minimum or maximum within the range of interest for focus and dose.
另一實施例為一種推斷第一參數(例如,聚焦參數)之值及第二參數(例如,微影程序之劑量參數)之值的方法。該方法包含根據與基板上使用該微影程序形成之目標相關的量測資料判定第一度量及第二度量之步驟。第一度量及第二度量中之各者取決於第一處理參數及第二處理參數兩者。第一度量對第一處理參數具有與第二處理參數不同之相依性,且第二度量對第二處理參數具有與第一處理參數不同之相依性。該方法進一步包含根據該第一度量及第二度量推斷第一參數之值及第二參數之值。該目標不包含任何不對稱特徵,例如由例如高解析度子結構產生之不對稱抗蝕劑輪廓。目標之所有特徵,例如抗蝕劑輪廓為對稱的。第二度量可包含基於來自量測目標之後的量測輻射之繞射的互補繞射階之強度的總和之總和度量。在一個實例中,該目標包含兩個或更多個子目標,該等子目標經選擇以在基板上曝光,以用於判定與其中曝光兩個或更多個子目標之微影曝光程序之聚焦設定相關的聚焦參數。該微影曝光程序可包含使用極紫外線輻射以用於曝光。該方法可進一步包含選擇包含第一僅對稱特徵之第一子目標,及包含第二僅對稱特徵之第二子目標。第一僅對稱特徵及第二僅對稱特徵可具有不同的各別最佳聚焦值。第一度量及/或第二度量可為第一子目標T1與第二子目標T2之間的強度度量不對稱性(強度不對稱性) 。第一度量及/或第二度量可為兩個目標之總和強度度量(總和強度): 。經量測強度度量中之各者可視情況包含來自各各別目標之兩個互補繞射階(例如,+1階及-1階)中之各者的強度之平均值。 Another embodiment is a method for inferring a value of a first parameter (e.g., a focus parameter) and a value of a second parameter (e.g., a dose parameter of a lithography process). The method includes the steps of determining a first metric and a second metric based on measurement data associated with a target formed on a substrate using the lithography process. Each of the first metric and the second metric depends on both a first processing parameter and a second processing parameter. The first metric has a different dependency on the first processing parameter than the second processing parameter, and the second metric has a different dependency on the second processing parameter than the first processing parameter. The method further includes inferring the value of the first parameter and the value of the second parameter based on the first metric and the second metric. The target does not include any asymmetric features, such as an asymmetric resist profile resulting from, for example, a high-resolution substructure. All features of the target, such as the resist profile, are symmetric. The second metric may comprise a sum metric of the sum of the intensities of complementary diffraction orders based on diffraction from the measurement radiation following the measurement target. In one example, the target comprises two or more sub-targets that are selected to be exposed on a substrate for use in determining focus parameters associated with focus settings of a lithography exposure process in which the two or more sub-targets are exposed. The lithography exposure process may comprise using extreme ultraviolet radiation for exposure. The method may further comprise selecting a first sub-target comprising a first only symmetric feature, and a second sub-target comprising a second only symmetric feature. The first only symmetric feature and the second only symmetric feature may have different respective best focus values. The first metric and/or the second metric may be an intensity metric asymmetry (intensity asymmetry) between the first sub-target T1 and the second sub-target T2 The first metric and/or the second metric may be a sum strength metric of the two objectives (sum strength): Each of the measured intensity metrics may optionally comprise an average of the intensities of each of two complementary diffraction orders (e.g., +1 order and -1 order) from each respective target.
另一實施例為一種非暫時性電腦程式產品,其中包含有機器可讀指令,該等指令在由電腦系統執行時經組態以使得電腦系統至少使得執行以上實施例中之任一者之方法。Another embodiment is a non-transitory computer program product comprising machine-readable instructions that, when executed by a computer system, are configured to cause the computer system to at least cause the method of any one of the above embodiments to be performed.
在後續經編號條項中揭示另外實施例: 1. 一種度量衡方法,其包含: 獲得與至少一第一子目標相關的一第一量測參數值; 獲得與至少一第三子目標相關的一第三量測參數值;以及 根據至少該第一量測參數值來判定至少一聚焦參數,該判定係使用至少該第三量測參數值來正規化,該至少第三子目標包含一正規化子目標,該正規化子目標實質上不包含聚焦靈敏度或包含最小聚焦靈敏度。 2. 如條項1之方法,其進一步獲得與至少一第二子目標相關的一第二量測參數值;以及 根據該第一量測參數值及該第二量測參數值判定至少一聚焦參數。 3. 如條項2之方法,其中該聚焦參數係根據該第一量測參數值與該第二量測參數值之一差及/或比較來判定。 4. 如條項2或3之方法,其包含: 自一第四子目標獲得一第四量測參數值; 根據該第四量測參數值與該第一量測參數值之一差及/或比較來判定一第一信號,該第一信號係藉由該第四量測參數值與該第三量測參數值之一差及/或比較來正規化; 根據該第四量測參數值與該第二量測參數值之一差及/或比較來判定一第二信號,該第二信號係藉由該第四量測參數值與該第三量測參數值之一差及/或比較來正規化;以及 根據該第一信號及該第二信號來判定該聚焦參數。 5. 如條項4之方法,其中該判定步驟包含根據該第一信號及該第二信號來進一步判定一劑量參數。 6. 如條項5之方法,其中該判定步驟包含判定最小化最佳化信號資料與監視信號資料之間的一失配誤差之該劑量參數及聚焦參數,其中該最佳化信號資料包含至少改變該聚焦參數之最佳化第一信號資料及最佳化第二信號資料,且該監視信號資料包含該第一信號及第二信號。 7. 如條項6之方法,其中該最佳化信號資料包含改變該聚焦參數及該劑量參數之該最佳化第一信號資料及最佳化第二信號資料。 8. 如條項4至7中任一項之方法,其中該第一子目標、該第二子目標、該第三子目標及該第四子目標均包含在一單一目標內,可在一單次獲取中被量測。 9. 如條項4至8中任一項之方法,其包含自一候選子目標庫選擇該第一子目標、該第二子目標、該第三子目標及該第四子目標中之各者的一初始目標選擇步驟。 10. 如條項9之方法,其中該目標選擇步驟包含: 獲得一候選子目標庫; 獲得量測參數資料,該量測參數資料包含在一所關注聚焦範圍及一所關注劑量範圍內的用於該候選子目標庫中之各候選子目標之各別量測參數值; 根據複數個擬合係數,針對每個候選子目標在聚焦及劑量上擬合該等量測參數值; 根據該複數個擬合係數判定用於該等候選子目標之不同對的複數個差量係數;以及 相對於一或多個準則評估該複數個差量係數,以判定一或多個可行目標,各可行目標包含待用作該第一子目標、該第二子目標、該第三子目標及該第四子目標之四個候選子目標之一可行組合。 11. 如條項10之方法,其中各該差量係數係根據與各對候選子目標中之一第一候選子目標相關的擬合係數及與各對候選子目標中之一第二候選子目標相關的擬合係數之一差來判定。 12. 如條項10或11之方法,其中該擬合步驟包含一二維、二階擬合,使得該複數個擬合係數包含零階聚焦項係數、一階聚焦項係數及二階聚焦項係數。 13. 如條項12之方法,其中: 該等零階聚焦項係數包含一常數係數及一劑量係數, 該等一階聚焦項係數包含一聚焦係數及一聚焦-劑量乘積係數;且 該等二階聚焦項係數一聚焦平方係數及一聚焦平方-劑量乘積係數。 14. 如條項12或13之方法,其中該等差量係數包含根據該等零階聚焦項係數判定之一第一差量係數、根據該等一階聚焦項係數判定之一第二差量係數,及根據該等二階聚焦項係數判定之一第三差量係數。 15. 如條項14之方法,其中: 該第一差量係數係根據各對候選子目標中之一第一候選子目標之該等零階聚焦項係數與各對候選子目標中之一第二候選子目標之該等零階聚焦項係數的一差來判定; 該第二差量係數係根據各對候選子目標中之一第一候選子目標之該等一階聚焦項係數與各對候選子目標中之一第二候選子目標之該等一階聚焦項係數的一差來判定; 該第一差量係數係根據各對候選子目標中之一第一候選子目標之該等二階聚焦項係數與各對候選子目標中之一第二候選子目標之該等二階聚焦項係數的一差來判定; 且其中各劑量相關聚焦項係數經乘以一標稱劑量值。 16. 如條項14或15之方法,其中該評估步驟包含針對第一對及第二對該等候選子目標之不同組合藉由評估用於一第一對該等候選子目標及一第二對該等候選子目標之各別該等第二差量係數之一差是否不小於一第二差量係數臨限值來判定該第一子目標、該第二子目標及該第三子目標之可行組合。 17. 如條項14、15或16之方法,其中該評估步驟包含針對第一對及第二對該等候選子目標之不同組合藉由評估用於一第一對該等候選子目標及一第二對該等候選子目標之各別該等第一差量係數之一差是否不大於一第一差量係數臨限值來判定該第一子目標、該第二子目標及該第三子目標之可行組合。 18. 如條項16或17之方法,其中該評估步驟包含藉由評估用於各對該等候選子目標之該第一差量係數是否不小於一正規化第一差量係數臨限值來判定該第一子目標及該第四子目標之可行組合。 19. 如條項16、17或18之方法,其中該評估步驟包含藉由以下操作來判定該第一子目標及該第四子目標之可行組合: 評估用於各對該等候選子目標之該第二差量係數是否不大於一正規化第二差量係數臨限值;以及 評估用於各對該等候選子目標之該第三差量係數是否不大於一正規化第三差量係數臨限值。 20. 如條項18或19之方法,其包含組合該第一子目標及該第四子目標之經判定可行組合與該第一子目標、該第二子目標及該第三子目標之經判定可行組合以判定該一或多個可行目標。 21. 如條項10至20中任一項之方法,其包含選擇該一或多個可行目標中之至少一者。 22. 如條項21之方法,其中該選擇步驟包含: 針對該一或多個可行目標中之各者判定一聚焦獲得設置誤差及聚焦靈敏度;以及 自該一或多個可行目標選擇其中該聚焦靈敏度不小於一聚焦靈敏度臨限值及/或一聚焦獲得設置誤差不小於一聚焦獲得設置誤差臨限值之目標。 23. 如條項22之方法,其中該選擇步驟進一步包含: 針對該一或多個可行目標中之各者判定一劑量獲得設置誤差及劑量靈敏度;以及 自該一或多個可行目標選擇其中該劑量靈敏度不小於一劑量靈敏度臨限值及/或一劑量獲得設置誤差不小於一劑量獲得設置誤差臨限值之目標。 24. 如任一前述條項之方法,其中該第一子目標或該第一子目標及該第二子目標之一組合包含高於一聚焦靈敏度臨限值之一聚焦靈敏度。 25. 如任一前述條項之方法,其中至少該第三子目標包含低於一聚焦靈敏度臨限值之一聚焦靈敏度。 26. 如任一前述條項之方法,其中該等子目標中之各者係實質上對稱的。 27. 如條項1至25中任一項之方法,其中該第一量測參數值描述該第一子目標之一聚焦相依不對稱性。 28. 如任一前述條項之方法,其中各該量測參數值包含一強度度量。 29. 如條項28之方法,其中該強度度量包含來自各該子目標之互補繞射階的各別強度之一平均值。 30. 如任一前述條項之方法,其包含量測一目標以獲得該等量測參數值中之各者。 31. 如任一前述條項之方法,其中該第三子目標包含一專用正規化子目標,使得來自該正規化子目標之量測資料係用於正規化之唯一目的。 32. 一種設計一聚焦目標之方法,其包含: 獲得一候選子目標庫; 獲得量測參數資料,該量測參數資料包含在一所關注聚焦範圍及一所關注劑量範圍內的用於該候選子目標庫中之各候選子目標之各別量測參數值; 根據複數個擬合係數,針對每個候選子目標在聚焦及劑量上擬合該等量測參數值; 根據該複數個擬合係數判定用於該等候選子目標之不同對的複數個差量係數;以及 相對於一或多個準則評估該複數個差量係數以判定一或多個可行目標,各可行目標包含候選子目標之一可行組合。 33. 如條項32之方法,其中各該差量係數係根據與各對候選子目標中之一第一候選子目標相關的擬合係數及與各對候選子目標中之一第二候選子目標相關的擬合係數之一差來判定。 34. 如條項32或33之方法,其中該擬合步驟包含一二維、二階擬合,使得該複數個擬合係數包含零階聚焦項係數、一階聚焦項係數及二階聚焦項係數。 35. 如條項34之方法,其中: 該等零階聚焦項係數包含一常數係數及一劑量係數, 該等一階聚焦項係數包含一聚焦係數及一聚焦-劑量乘積係數;且 該等二階聚焦項係數一聚焦平方係數及一聚焦平方-劑量乘積係數。 36. 如條項34或35之方法,其中該等差量係數包含根據該等零階聚焦項係數判定之一第一差量係數、根據該等一階聚焦項係數判定之一第二差量係數,及根據該等二階聚焦項係數判定之一第三差量係數。 37. 如條項36之方法,其中: 該第一差量係數係根據各對候選子目標中之一第一候選子目標之該等零階聚焦項係數與各對候選子目標中之一第二候選子目標之該等零階聚焦項係數的一差來判定; 該第二差量係數係根據各對候選子目標中之一第一候選子目標之該等一階聚焦項係數與各對候選子目標中之一第二候選子目標之該等一階聚焦項係數的一差來判定; 該第一差量係數係根據各對候選子目標中之一第一候選子目標之該等二階聚焦項係數與各對候選子目標中之一第二候選子目標之該等二階聚焦項係數的一差來判定; 且其中各劑量相關聚焦項係數乘以一標稱劑量值。 38. 如條項32至37中任一項之方法,其中各可行目標包含一第一子目標、一第二子目標、一第三子目標及一第四子目標之一可行組合。 39. 如條項38之方法,其中該評估步驟包含針對第一對及第二對該等候選子目標之不同組合藉由評估用於一第一對該等候選子目標及一第二對該等候選子目標之各別該等第二差量係數之一差是否不小於一第二差量係數臨限值來判定該第一子目標、該第二子目標及該第三子目標之可行組合。 40. 如條項38或39之方法,其中該評估步驟包含針對第一對及第二對該等候選子目標之不同組合藉由評估用於一第一對該等候選子目標及一第二對該等候選子目標之各別該等第一差量係數之一差是否不大於一第一差量係數臨限值來判定該第一子目標、該第二子目標及該第三子目標之可行組合。 41. 如條項39或40之方法,其中該評估步驟包含藉由評估用於各對該等候選子目標之該第一差量係數是否不小於一正規化第一差量係數臨限值來判定該第一子目標及該第四子目標之可行組合。 42. 如條項39、40或41之方法,其中該評估步驟包含藉由以下操作來判定該第一子目標及該第四子目標之可行組合: 評估用於各對該等候選子目標之該第二差量係數是否不大於一正規化第二差量係數臨限值;以及 評估用於各對該等候選子目標之該第三差量係數是否不大於一正規化第三差量係數臨限值。 43. 如條項41或42之方法,其包含組合該第一子目標及該第四子目標之經判定可行組合與該第一子目標、該第二子目標及該第三子目標之經判定可行組合以判定該一或多個可行目標。 44. 如條項32至43中任一項之方法,其包含選擇該一或多個可行目標中之至少一者。 45. 如條項44之方法,其中該選擇步驟包含: 針對該一或多個可行目標中之各者判定一聚焦獲得設置誤差及聚焦靈敏度;以及 自該一或多個可行目標選擇其中該聚焦靈敏度不小於一聚焦靈敏度臨限值及/或一聚焦獲得設置誤差不小於一聚焦獲得設置誤差臨限值之目標。 46. 如條項45之方法,其中該選擇步驟進一步包含: 針對該一或多個可行目標中之各者判定一劑量獲得設置誤差及劑量靈敏度;以及 自該一或多個可行目標選擇其中該劑量靈敏度不小於一劑量靈敏度臨限值及/或一劑量獲得設置誤差不小於一劑量獲得設置誤差臨限值之目標。 47. 如條項32至46中任一項之方法,其中該等候選子目標中之各者係實質上對稱的。 48. 如條項32至47中任一項之方法,其包含: 根據該等可行目標中之至少一者來曝光一基板上之一聚焦目標。 49. 如條項48之方法,其包含量測該聚焦目標以判定曝光該聚焦目標之一聚焦設定。 50. 一種倍縮光罩,其包含經配置以圖案化一輻射射束之聚焦目標特徵,該等聚焦目標特徵對應於如藉由如條項32至47中任一項之方法判定的該等可行目標中之該至少一者。 51. 一種基板,其包含至少一個聚焦目標,該至少一個聚焦目標對應於如藉由如條項32至47中任一項之方法判定的該等可行目標中之該至少一者。 52. 一種包含程式指令之電腦程式,該等程式指令可操作以在運行於一合適的設備上時執行如條項1至47中任一項之方法。 53. 一種非暫時性電腦程式載體,其包含如條項41之電腦程式。 54. 一種處理系統,其包含一處理器及包含如條項41之電腦程式的一儲存裝置。 55. 一種微影設備,其包含如條項54之處理系統。 56. 一種非暫時性電腦程式產品,其中包含有機器可讀指令,該等指令在由一電腦系統執行時經組態以使得該電腦系統至少使得執行如條項1至47中任一項之方法。 57. 一種度量衡裝置,其可操作以執行如條項1至47中任一項之方法。 58. 一種用於判定一微影程序之一參數之量測目標,該目標包含至少一第一子目標、一第二子目標、一第三子目標及一第四子目標,其中該等子目標中之各者具有不同於其他目標的設計,其中該等子目標包含用於該參數之兩個實質上敏感的子目標,及用於該參數之兩個實質上不敏感的子目標,且其中該微影程序之該參數係基於該第一子目標、該第二子目標、該第三子目標及該第四子目標之量測參數資料而判定。 59. 一種設計用於量測一第一參數之一目標之方法,其中該目標包含多個子目標,該方法包含: 獲得一候選子目標庫; 獲得量測參數資料,該量測參數資料包含在該第一參數之一所關注範圍及一第二參數之一所關注範圍內的用於該候選子目標庫中之各候選子目標之各別量測參數值;其中該量測參數資料包含依據該第一參數及該第二參數而變化之一矩陣;以及 判定一或多個可行目標,各可行目標包含候選子目標之一可行組合,使得該矩陣具有在該第一參數之該所關注範圍及該第二參數之該所關注範圍內的一單一全域最小值或最大值。 60. 如條項59之方法,其中該目標係用於量測該第一參數及該第二參數。 61. 如條項59或60之方法,其中該量測參數資料包含與至少一第一子目標相關的一第一量測參數值,及與至少一第二子目標相關的一第二量測參數值。 62. 如條項61之方法,其中該第一參數係根據該第一量測參數值與該第二量測參數值之一差及/或比較來判定。 63. 如條項61或62之方法,其中該目標進一步包含一第三子目標及該量測參數資料,該量測參數資料包含與至少該第三子目標相關的一第三量測參數值。 64. 如條項63之方法,其中該目標進一步包含一第四子目標及該量測參數資料,該量測參數資料包含與至少該第四子目標相關的一第四量測參數值。 65. 如條項64之方法,其中該等子目標包含用於該第一參數之兩個實質上敏感的子目標,及用於該第一參數之兩個實質上不敏感的子目標。 66. 如條項59至65中任一項之方法,其中該第一參數為一微影程序之一參數。 67. 如條項66之方法,其中該第一參數為一聚焦參數。 68. 如條項66或67之方法,其中該方法進一步包含使用能夠模擬將一圖案化裝置圖案轉印至一基板之一抗蝕劑層上的該微影程序之一計算微影模組,及能夠模擬該圖案化裝置圖案上之度量衡操作之一計算度量衡模組,其中該圖案化裝置圖案係用於圖案化該目標。 69. 如條項59至68中任一項之方法,其中該第二參數為一劑量參數。 70. 如條項59至69中任一項之方法,其中該等子目標中之各者係實質上對稱的。 71. 如條項59至70中任一項之方法,其中該等子目標中之各者具有不同於其他目標的設計。 72. 如條項59至71中任一項之方法,其中所有該等子目標可在一單次獲取中被量測。 73. 如條項59至72中任一項之方法,其中各該量測參數值包含一強度度量。 74. 如條項73之方法,其中該強度度量包含來自各該子目標之互補繞射階的各別強度之一平均值。 74. 一種推斷一微影程序之一第一參數之一值及一第二參數之一值的方法,其包含: 根據與一基板上之使用該微影程序形成之一目標相關的量測資料判定一第一度量及一第二度量,該第一度量及該第二度量中之各者取決於第一處理參數及第二處理參數兩者,該第一度量對該第一處理參數具有與該第二處理參數不同之一相依性且該第二度量對該第二處理參數具有與該第一處理參數不同之一相依性;及 根據該第一度量及第二度量推斷該第一參數之該值及該第二參數之該值,其中該目標僅包含對稱特徵。 75. 如條項74之方法,其中該第一參數為一聚焦參數。 76. 如條項74或75之方法,其中該第二參數為一劑量參數。 77. 如條項74至76中任一項之方法,其中該目標包含一第一子目標及一第二子目標。 78. 如條項77之方法,其中該第一子目標及該第二子目標具有不同設計。 79. 如條項78之方法,其中該第一子目標及該第二子目標具有不同的各別最佳聚焦值。 80. 如條項74至79中任一項之方法,其中該第一度量及/或該第二度量為該第一子目標與該第二子目標之間的強度度量不對稱性。 81. 如條項74至80中任一項之方法,其中該等度量中之各者包含來自各各別子目標之兩個互補繞射階中之各者的強度之一總和。 82. 如條項74至81中任一項之方法,其中該微影程序包含使用一極紫外線輻射以用於曝光。 83. 一種非暫時性電腦程式產品,其中包含有機器可讀指令,該等指令在由一電腦系統執行時經組態以使得該電腦系統至少使得執行如條項59至82中任一項之方法。 Further embodiments are disclosed in the following numbered clauses: 1. A metrology method, comprising: obtaining a first measurement parameter value associated with at least one first sub-target; obtaining a third measurement parameter value associated with at least one third sub-target; and determining at least one focus parameter based on at least the first measurement parameter value, wherein the determination is normalized using at least the third measurement parameter value, the at least third sub-target comprising a normalized sub-target, the normalized sub-target comprising substantially no focus sensitivity or comprising minimum focus sensitivity. 2. The method of clause 1, further comprising obtaining a second measurement parameter value associated with at least one second sub-target; and determining at least one focus parameter based on the first measurement parameter value and the second measurement parameter value. 3. The method of clause 2, wherein the focus parameter is determined based on a difference and/or comparison between the first measurement parameter value and the second measurement parameter value. 4. The method of clause 2 or 3, comprising: obtaining a fourth measurement parameter value from a fourth sub-target; determining a first signal based on a difference and/or comparison between the fourth measurement parameter value and the first measurement parameter value, the first signal being normalized by a difference and/or comparison between the fourth measurement parameter value and the third measurement parameter value; determining a second signal based on a difference and/or comparison between the fourth measurement parameter value and the second measurement parameter value, the second signal being normalized by a difference and/or comparison between the fourth measurement parameter value and the third measurement parameter value; and determining the focus parameter based on the first signal and the second signal. 5. The method of clause 4, wherein the determining step further comprises determining a dose parameter based on the first signal and the second signal. 6. The method of clause 5, wherein the determining step comprises determining the dose parameter and focus parameter that minimize a mismatch error between optimized signal data and monitoring signal data, wherein the optimized signal data comprises optimized first signal data and optimized second signal data that change at least the focus parameter, and the monitoring signal data comprises the first signal and the second signal. 7. The method of clause 6, wherein the optimized signal data comprises optimized first signal data and optimized second signal data that change the focus parameter and the dose parameter. 8. The method of any one of clauses 4 to 7, wherein the first sub-goal, the second sub-goal, the third sub-goal, and the fourth sub-goal are all contained within a single target and can be measured in a single acquisition. 9. The method of any one of clauses 4 to 8, comprising an initial target selection step of selecting each of the first sub-goal, the second sub-goal, the third sub-goal, and the fourth sub-goal from a library of candidate sub-goals. 10. The method of clause 9, wherein the target selection step comprises: obtaining a library of candidate sub-targets; obtaining measurement parameter data, the measurement parameter data comprising respective measurement parameter values for each candidate sub-target in the library within a focus range of interest and a dose range of interest; fitting the measurement parameter values in focus and dose for each candidate sub-target based on a plurality of fitting coefficients; determining a plurality of difference coefficients for different pairs of the candidate sub-targets based on the plurality of fitting coefficients; and The plurality of difference coefficients are evaluated relative to one or more criteria to determine one or more feasible goals, each feasible goal comprising a feasible combination of four candidate subgoals to be used as the first subgoal, the second subgoal, the third subgoal, and the fourth subgoal. 11. The method of clause 10, wherein each difference coefficient is determined based on a difference between a fitting coefficient associated with a first candidate subgoal in each pair of candidate subgoals and a fitting coefficient associated with a second candidate subgoal in each pair of candidate subgoals. 12. The method of clause 10 or 11, wherein the fitting step comprises a two-dimensional, second-order fitting such that the plurality of fitting coefficients comprise a zero-order focusing term coefficient, a first-order focusing term coefficient, and a second-order focusing term coefficient. 13. The method of clause 12, wherein: the zero-order focusing term coefficients include a constant coefficient and a dose coefficient, the first-order focusing term coefficients include a focus coefficient and a focus-dose product coefficient; and the second-order focusing term coefficients include a focus square coefficient and a focus square-dose product coefficient. 14. The method of clause 12 or 13, wherein the difference coefficients include a first difference coefficient determined based on the zero-order focusing term coefficients, a second difference coefficient determined based on the first-order focusing term coefficients, and a third difference coefficient determined based on the second-order focusing term coefficients. 15. The method of claim 14, wherein: the first difference coefficient is determined based on a difference between the zero-order focusing term coefficients of a first candidate sub-target in each pair of candidate sub-targets and the zero-order focusing term coefficients of a second candidate sub-target in each pair of candidate sub-targets; the second difference coefficient is determined based on a difference between the first-order focusing term coefficients of a first candidate sub-target in each pair of candidate sub-targets and the first-order focusing term coefficients of a second candidate sub-target in each pair of candidate sub-targets; the first difference coefficient is determined based on a difference between the second-order focusing term coefficients of a first candidate sub-target in each pair of candidate sub-targets and the second-order focusing term coefficients of a second candidate sub-target in each pair of candidate sub-targets; and wherein each dose-related focusing term coefficient is multiplied by a nominal dose value. 16. The method of clause 14 or 15, wherein the evaluating step comprises determining a feasible combination of the first sub-goal, the second sub-goal, and the third sub-goal by evaluating, for different combinations of the first and second pairs of the candidate sub-goals, whether a difference between the respective second difference coefficients for the first pair of the candidate sub-goals and the second pair of the candidate sub-goals is not less than a second difference coefficient threshold value. 17. The method of clause 14, 15, or 16, wherein the evaluating step comprises determining, for different combinations of the first and second pairs of the candidate sub-goals, whether a difference between the respective first difference coefficients for the first pair of the candidate sub-goals and the second pair of the candidate sub-goals is not greater than a first difference coefficient threshold value. 18. The method of clause 16 or 17, wherein the evaluating step comprises determining a feasible combination of the first sub-goal and the fourth sub-goal by evaluating whether the first variance coefficient for each pair of the candidate sub-goals is not less than a normalized first variance coefficient threshold value. 19. The method of clause 16, 17, or 18, wherein the evaluating step comprises determining a feasible combination of the first sub-goal and the fourth sub-goal by: evaluating whether the second variance coefficient for each pair of the candidate sub-goals is not greater than a normalized second variance coefficient threshold value; and evaluating whether the third variance coefficient for each pair of the candidate sub-goals is not greater than a normalized third variance coefficient threshold value. 20. The method of clause 18 or 19, comprising combining the determined feasible combination of the first sub-goal and the fourth sub-goal with the determined feasible combination of the first sub-goal, the second sub-goal, and the third sub-goal to determine the one or more feasible goals. 21. The method of any one of clauses 10 to 20, comprising selecting at least one of the one or more feasible goals. 22. The method of clause 21, wherein the selecting step comprises: determining a focus acquisition setting error and a focus sensitivity for each of the one or more feasible goals; and selecting a goal from the one or more feasible goals in which the focus sensitivity is not less than a focus sensitivity threshold and/or a focus acquisition setting error is not less than a focus acquisition setting error threshold. 23. The method of clause 22, wherein the selecting step further comprises: determining a dose-acquisition setting error and a dose sensitivity for each of the one or more feasible targets; and selecting a target from the one or more feasible targets for which the dose sensitivity is not less than a dose sensitivity threshold and/or a dose-acquisition setting error is not less than a dose-acquisition setting error threshold. 24. The method of any preceding clause, wherein the first sub-target or a combination of the first sub-target and the second sub-target comprises a focus sensitivity greater than a focus sensitivity threshold. 25. The method of any preceding clause, wherein at least the third sub-target comprises a focus sensitivity less than a focus sensitivity threshold. 26. The method of any preceding clause, wherein each of the sub-objectives is substantially symmetric. 27. The method of any preceding clause, wherein the first measurement parameter value describes a focusing-dependent asymmetry of the first sub-objective. 28. The method of any preceding clause, wherein each of the measurement parameter values comprises a strength metric. 29. The method of clause 28, wherein the strength metric comprises an average of respective strengths of complementary diffraction orders from each of the sub-objectives. 30. The method of any preceding clause, comprising measuring a target to obtain each of the measurement parameter values. 31. The method of any preceding clause, wherein the third sub-objective comprises a dedicated normalization sub-objective such that measurement data from the normalization sub-objective is used for the sole purpose of normalization. 32. A method for designing a focus target, comprising: obtaining a library of candidate sub-targets; obtaining measurement parameter data, the measurement parameter data comprising respective measurement parameter values for each candidate sub-target in the library within a focus range of interest and a dose range of interest; fitting the measurement parameter values in focus and dose for each candidate sub-target based on a plurality of fitting coefficients; determining a plurality of difference coefficients for different pairs of the candidate sub-targets based on the plurality of fitting coefficients; and evaluating the plurality of difference coefficients relative to one or more criteria to determine one or more feasible targets, each feasible target comprising a feasible combination of candidate sub-targets. 33. The method of clause 32, wherein each difference coefficient is determined based on a difference between a fitting coefficient associated with a first candidate sub-target in each pair of candidate sub-targets and a fitting coefficient associated with a second candidate sub-target in each pair of candidate sub-targets. 34. The method of clause 32 or 33, wherein the fitting step comprises a two-dimensional, second-order fitting, such that the plurality of fitting coefficients comprises a zero-order focusing term coefficient, a first-order focusing term coefficient, and a second-order focusing term coefficient. 35. The method of clause 34, wherein: the zero-order focusing term coefficients include a constant coefficient and a dose coefficient, the first-order focusing term coefficients include a focus coefficient and a focus-dose product coefficient; and the second-order focusing term coefficients include a focus square coefficient and a focus square-dose product coefficient. 36. The method of clause 34 or 35, wherein the difference coefficients include a first difference coefficient determined based on the zero-order focusing term coefficients, a second difference coefficient determined based on the first-order focusing term coefficients, and a third difference coefficient determined based on the second-order focusing term coefficients. 37. The method of claim 36, wherein: the first difference coefficient is determined based on a difference between the zero-order focusing term coefficients of a first candidate sub-target in each pair of candidate sub-targets and the zero-order focusing term coefficients of a second candidate sub-target in each pair of candidate sub-targets; the second difference coefficient is determined based on a difference between the first-order focusing term coefficients of a first candidate sub-target in each pair of candidate sub-targets and the first-order focusing term coefficients of a second candidate sub-target in each pair of candidate sub-targets; the first difference coefficient is determined based on a difference between the second-order focusing term coefficients of a first candidate sub-target in each pair of candidate sub-targets and the second-order focusing term coefficients of a second candidate sub-target in each pair of candidate sub-targets; and wherein each dose-related focusing term coefficient is multiplied by a nominal dose value. 38. The method of any one of clauses 32 to 37, wherein each feasible goal comprises a feasible combination of a first sub-goal, a second sub-goal, a third sub-goal, and a fourth sub-goal. 39. The method of clause 38, wherein the evaluating step comprises determining the feasible combination of the first sub-goal, the second sub-goal, and the third sub-goal by evaluating, for different combinations of the first and second pairs of the candidate sub-goals, whether a difference between the second difference coefficients for the first pair of the candidate sub-goals and the second pair of the candidate sub-goals is not less than a second difference coefficient threshold value. 40. The method of clause 38 or 39, wherein the evaluating step comprises determining feasible combinations of the first sub-goal, the second sub-goal, and the third sub-goal for different combinations of the first and second pairs of the candidate sub-goals by evaluating whether a difference between the first difference coefficients for a first pair of the candidate sub-goals and a second pair of the candidate sub-goals is no greater than a first difference coefficient threshold value. 41. The method of clause 39 or 40, wherein the evaluating step comprises determining feasible combinations of the first sub-goal and the fourth sub-goal by evaluating whether the first difference coefficient for each pair of the candidate sub-goals is no less than a normalized first difference coefficient threshold value. 42. The method of clause 39, 40, or 41, wherein the evaluating step comprises determining a feasible combination of the first sub-goal and the fourth sub-goal by: evaluating whether the second variance coefficient for each pair of the candidate sub-goals is no greater than a normalized second variance coefficient threshold; and evaluating whether the third variance coefficient for each pair of the candidate sub-goals is no greater than a normalized third variance coefficient threshold. 43. The method of clause 41 or 42, comprising combining the determined feasible combination of the first sub-goal and the fourth sub-goal with the determined feasible combination of the first sub-goal, the second sub-goal, and the third sub-goal to determine the one or more feasible goals. 44. The method of any of clauses 32 to 43, comprising selecting at least one of the one or more feasible goals. 45. The method of clause 44, wherein the selecting step comprises: determining a focus acquisition setting error and a focus sensitivity for each of the one or more feasible targets; and selecting a target from the one or more feasible targets in which the focus sensitivity is not less than a focus sensitivity threshold and/or a focus acquisition setting error is not less than a focus acquisition setting error threshold. 46. The method of clause 45, wherein the selecting step further comprises: determining a dose acquisition setup error and a dose sensitivity for each of the one or more feasible targets; and selecting a target from the one or more feasible targets for which the dose sensitivity is not less than a dose sensitivity threshold and/or a dose acquisition setup error is not less than a dose acquisition setup error threshold. 47. The method of any of clauses 32 to 46, wherein each of the candidate targets is substantially symmetric. 48. The method of any of clauses 32 to 47, comprising: exposing a focus target on a substrate according to at least one of the feasible targets. 49. The method of clause 48, comprising measuring the focus target to determine a focus setting for exposing the focus target. 50. A reticle comprising focus target features configured to pattern a radiation beam, the focus target features corresponding to the at least one of the possible targets as determined by the method of any of clauses 32 to 47. 51. A substrate comprising at least one focus target, the at least one focus target corresponding to the at least one of the possible targets as determined by the method of any of clauses 32 to 47. 52. A computer program comprising program instructions operable to perform the method of any of clauses 1 to 47 when executed on a suitable apparatus. 53. A non-transitory computer program carrier comprising the computer program of clause 41. 54. A processing system comprising a processor and a storage device comprising the computer program of clause 41. 55. A lithography apparatus comprising the processing system of clause 54. 56. A non-transitory computer program product comprising machine-readable instructions which, when executed by a computer system, are configured to cause the computer system to at least cause the method of any one of clauses 1 to 47 to be performed. 57. A metrology apparatus operable to perform the method of any one of clauses 1 to 47. 58. A metrology target for determining a parameter of a lithography process, the target comprising at least a first sub-target, a second sub-target, a third sub-target, and a fourth sub-target, wherein each of the sub-targets has a design different from that of the other targets, wherein the sub-targets include two substantially sensitive sub-targets for the parameter, and two substantially insensitive sub-targets for the parameter, and wherein the parameter of the lithography process is determined based on metrology parameter data of the first sub-target, the second sub-target, the third sub-target, and the fourth sub-target. 59. A method for designing a goal for measuring a first parameter, wherein the goal includes multiple sub-goals, the method comprising: obtaining a library of candidate sub-goals; obtaining measurement parameter data, the measurement parameter data including respective measurement parameter values for each candidate sub-goal in the library of candidate sub-goals within a range of interest of the first parameter and a range of interest of a second parameter; wherein the measurement parameter data includes a matrix that varies as a function of the first parameter and the second parameter; and determining one or more feasible goals, each feasible goal including a feasible combination of candidate sub-goals, such that the matrix has a single global minimum or maximum value within the range of interest of the first parameter and the range of interest of the second parameter. 60. The method of clause 59, wherein the target is used to measure the first parameter and the second parameter. 62. The method of clause 61, wherein the first parameter is determined based on a difference and/or comparison between the first and second measurement parameter values. 63. The method of clause 61 or 62, wherein the target further comprises a third sub-target and the measurement parameter data, the measurement parameter data comprising a third measurement parameter value associated with at least the third sub-target. 64. The method of clause 63, wherein the target further comprises a fourth sub-target and the measurement parameter data comprising a fourth measurement parameter value associated with at least the fourth sub-target. 65. The method of clause 64, wherein the sub-targets include two sub-targets that are substantially sensitive to the first parameter and two sub-targets that are substantially insensitive to the first parameter. 66. The method of any of clauses 59 to 65, wherein the first parameter is a parameter of a lithography process. 67. The method of clause 66, wherein the first parameter is a focus parameter. 68. The method of clause 66 or 67, wherein the method further comprises using a computational lithography module capable of simulating the lithography process of transferring a patterned device pattern onto a resist layer on a substrate, and a computational metrology module capable of simulating metrology operations on the patterned device pattern, wherein the patterned device pattern is used to pattern the target. 69. The method of any of clauses 59 to 68, wherein the second parameter is a dose parameter. 70. The method of any of clauses 59 to 69, wherein each of the sub-goals is substantially symmetric. 71. The method of any of clauses 59 to 70, wherein each of the sub-goals has a different design than the other goals. 72. The method of any of clauses 59 to 71, wherein all of the sub-goals can be measured in a single acquisition. 73. The method of any of clauses 59 to 72, wherein each of the measured parameter values comprises an intensity metric. 74. The method of clause 73, wherein the intensity metric comprises an average of the respective intensities of the complementary diffraction orders from each of the sub-goals. 74. A method for inferring a value of a first parameter and a value of a second parameter of a lithography process, comprising: determining a first metric and a second metric based on metrology data associated with a target formed on a substrate using the lithography process, each of the first metric and the second metric depending on both a first process parameter and a second process parameter, the first metric having a different dependency on the first process parameter than the second process parameter, and the second metric having a different dependency on the second process parameter than the first process parameter; and inferring the value of the first parameter and the value of the second parameter based on the first metric and the second metric, wherein the target comprises only symmetric features. 75. The method of clause 74, wherein the first parameter is a focus parameter. 76. The method of clause 74 or 75, wherein the second parameter is a dose parameter. 77. The method of any of clauses 74 to 76, wherein the target comprises a first sub-target and a second sub-target. 78. The method of clause 77, wherein the first sub-target and the second sub-target have different designs. 79. The method of clause 78, wherein the first sub-target and the second sub-target have different respective best focus values. 80. The method of any of clauses 74 to 79, wherein the first metric and/or the second metric is an intensity metric asymmetry between the first sub-target and the second sub-target. 81. The method of any of clauses 74 to 80, wherein each of the metrics comprises a sum of intensities from each of two complementary diffraction orders of each respective sub-target. 82. The method of any of clauses 74 to 81, wherein the lithography process comprises using extreme ultraviolet radiation for exposure. 83. A non-transitory computer program product comprising machine-readable instructions which, when executed by a computer system, are configured to cause the computer system to at least cause the method of any one of clauses 59 to 82 to be performed.
儘管可在本文中特定地參考在IC製造中微影設備之使用,但應理解,本文中所描述之微影設備可具有其他應用。可能的其他應用包括製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。Although specific reference may be made herein to the use of lithography equipment in IC manufacturing, it should be understood that the lithography equipment described herein may have other applications. Possible other applications include the fabrication of integrated optical systems, guide and detection patterns for magnetic resonance memory, flat panel displays, liquid crystal displays (LCDs), thin film magnetic heads, and the like.
儘管可在本文中特定地參考在微影設備之上下文中的本發明之實施例,但本發明之實施例可用於其他設備中。本發明之實施例可形成光罩檢測設備、度量衡設備或量測或處理諸如晶圓(或其他基板)或光罩(或其他圖案化裝置)之物件之任何設備的部分。此等設備可一般被稱作微影工具。此微影工具可使用真空條件或周圍(非真空)條件。Although specific reference may be made herein to embodiments of the present invention in the context of lithography equipment, embodiments of the present invention may be used in other equipment. Embodiments of the present invention may form part of reticle inspection equipment, metrology equipment, or any equipment that measures or processes objects such as wafers (or other substrates) or reticles (or other patterned devices). Such equipment may generally be referred to as lithography tools. Such lithography tools may utilize vacuum conditions or ambient (non-vacuum) conditions.
在上下文允許之情況下,可以硬體、韌體、軟體或其任何組合實施本發明之實施例。本發明之實施例亦可被實施為儲存於機器可讀媒體上之指令,其可由一或多個處理器讀取及執行。機器可讀媒體可包括用於儲存或傳輸呈可由機器(例如,計算裝置)讀取之形式之資訊的任何機構。舉例而言,機器可讀媒體可包括唯讀記憶體(ROM);隨機存取記憶體(RAM);磁性儲存媒體;光學儲存媒體;快閃記憶體裝置;電、光學、聲學或其他形式的傳播信號(例如,載波、紅外線信號、數位信號等);及其他。此外,韌體、軟體、常式、指令可在本文中被描述為執行某些動作。然而,應瞭解,此等描述僅僅為方便起見,且此等動作事實上係由計算裝置、處理器、控制器或執行韌體、軟體、常式、指令等等之其他裝置引起,且如此進行可引起致動器或其他裝置與實體世界互動。Where the context permits, embodiments of the present invention may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the present invention may also be implemented as instructions stored on a machine-readable medium that can be read and executed by one or more processors. A machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine-readable medium may include read-only memory (ROM); random access memory (RAM); magnetic storage media; optical storage media; flash memory devices; electrical, optical, acoustic, or other forms of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.); and others. Furthermore, firmware, software, routines, and instructions may be described herein as performing certain actions. However, it should be understood that such descriptions are for convenience only and that such actions are in fact caused by a computing device, processor, controller, or other device executing the firmware, software, routines, instructions, etc., and in doing so, may cause actuators or other devices to interact with the physical world.
雖然上文已描述本發明之特定實施例,但將瞭解,可以與所描述之方式不同的其他方式來實踐本發明。以上描述意欲為說明性,而非限制性的。由此,對於熟習此項技術者將顯而易見的為,可在不脫離下文所闡述之申請專利範圍之範疇的情況下對所描述之本發明進行修改。Although specific embodiments of the present invention have been described above, it will be appreciated that the present invention may be practiced in other ways than those described. The foregoing description is intended to be illustrative rather than restrictive. Thus, it will be apparent to those skilled in the art that modifications may be made to the present invention as described without departing from the scope of the claims set forth below.
1:雷射系統 2:雷射射束 3:燃料發射器 4:電漿形成區 5:收集器 6:中間焦點 7:錫電漿 8:開口 9:圍封結構 10:琢面化場鏡面裝置 11:琢面化光瞳鏡面裝置 13:鏡面 14:鏡面 700:候選子目標庫 705:步驟 710:步驟 715:步驟 720:步驟 725:步驟 800:步驟 805:步驟 810:步驟 815:步驟 820:步驟 825:步驟 A:第四子目標 B:EUV輻射射束/第一子目標 B':經圖案化EUV輻射射束 BK:烘烤板 C:第二子目標 CD p:類產品關鍵尺寸 CH:冷卻板 CL:電腦系統 D:第三子目標 DE:顯影器 df:最佳焦點偏移 DS:劑量靈敏度 FS:聚焦靈敏度 IL:照明系統 I/O1:輸入/輸出埠 I/O2:輸入/輸出埠 k:第二候選子目標 LA:微影設備 LACU:微影控制單元 LB:裝載區 LC:微影單元 m:第一候選子目標 MA:圖案化裝置 MT:支撐結構 PEB:曝光後烘烤步驟 P op:光學間距 P p:分段間距 PS:投影系統 RO:基板處置器或機器人 SC:旋塗器 SC1:第一標度 SC2:第二標度 SC3:第三標度 SCS:監督控制系統 SO:輻射源 T1:第一子目標 T2:第二子目標 T3:第三子目標 T4:正規化子目標 TCU:塗佈顯影系統控制單元 W:基板 WT:基板台 1: Laser system 2: Laser beam 3: Fuel emitter 4: Plasma formation region 5: Collector 6: Intermediate focus 7: Tin plasma 8: Opening 9: Enclosure 10: Faceted field mirror device 11: Faceted pupil mirror device 13: Mirror 14: Mirror 700: Candidate sub-target library 705: Step 710: Step 715: Step 720: Step 725: Step 800: Step 805: Step 810: Step 815: Step 820: Step 825: Step A: Fourth sub-target B: EUV radiation beam/first sub-target B': Patterned EUV radiation beam BK: Bake plate C: Second sub-target CD p : Key dimensions of similar products CH: Cooling plate CL: Computer system D: Third sub-target DE: Developer df: Optimal focus offset DS: Dose sensitivity FS: Focus sensitivity IL: Illumination system I/O1: Input/output port I/O2: Input/output port k : Second candidate sub-target LA: Lithography equipment LACU: Lithography control unit LB: Loading area LC: Lithography unit m : First candidate sub-target MA: Patterning device MT: Support structure PEB: Post-exposure bake step Pop : Optical pitch Pp : Segment spacing PS: Projection system RO: Substrate handler or robot SC: Spin coater SC1: First scale SC2: Second scale SC3: Third scale SCS: Supervisory control system SO: Radiation source T1: First sub-target T2: Second sub-target T3: Third sub-target T4: Normalization sub-target TCU: Coating and development system control unit W: Substrate WT: Substrate stage
現將參考隨附示意性圖式而僅作為實例來描述本發明之實施例,其中: - 圖1描繪包含微影設備及輻射源之微影系統; - 圖2描繪微影單元之示意性概述; - 圖3描繪整體微影之示意性表示,其表示用以最佳化半導體製造之三種關鍵技術之間的合作; - 圖4(a)及圖4(b)各自繪示根據第一實施例之包含至少一個指定正規化子目標的所提出的目標設計; - 圖5繪示根據第二實施例之包含至少一個指定正規化子目標的所提出的目標設計; - 圖6(a)、圖6(b)及圖6(c)各自繪示根據一實施例之可用於目標設計方法中的可能的候選子目標變化; - 圖7為根據一實施例之描述目標設計方法的流程圖;且 - 圖8為根據一實施例之描述圖7之目標設計方法的最終步驟之流程圖。 Embodiments of the present invention will now be described by way of example only with reference to the accompanying schematic drawings, in which: - FIG. 1 depicts a lithography system comprising a lithography apparatus and a radiation source; - FIG. 2 depicts a schematic overview of a lithography unit; - FIG. 3 depicts a schematic representation of overall lithography illustrating the cooperation between three key technologies for optimizing semiconductor manufacturing; - FIG. 4(a) and FIG. 4(b) each depict a proposed target design comprising at least one specified normalized sub-target according to a first embodiment; - FIG. 5 depicts a proposed target design comprising at least one specified normalized sub-target according to a second embodiment; - FIG. 6(a), FIG. 6(b) and FIG. 6(c) each depict possible candidate sub-target variations that can be used in a target design method according to one embodiment; FIG7 is a flowchart illustrating a target design method according to one embodiment; and - FIG8 is a flowchart illustrating the final step of the target design method of FIG7 according to one embodiment.
T1:第一子目標 T1: First sub-goal
T2:第二子目標 T2: Second sub-goal
T3:第三子目標 T3: The third sub-goal
T4:正規化子目標 T4: Regularization sub-goal
Claims (15)
Applications Claiming Priority (2)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| EP23208139.8A EP4553579A1 (en) | 2023-11-07 | 2023-11-07 | Focus metrology method and method for designing a focus target for focus metrology |
| EP23208139.8 | 2023-11-07 |
Publications (1)
| Publication Number | Publication Date |
|---|---|
| TW202532979A true TW202532979A (en) | 2025-08-16 |
Family
ID=88731679
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| TW113141817A TW202532979A (en) | 2023-11-07 | 2024-11-01 | Focus metrology method and method for designing a focus target for focus metrology |
Country Status (3)
| Country | Link |
|---|---|
| EP (1) | EP4553579A1 (en) |
| TW (1) | TW202532979A (en) |
| WO (1) | WO2025098704A1 (en) |
Family Cites Families (10)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US7791727B2 (en) | 2004-08-16 | 2010-09-07 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
| NL1036245A1 (en) | 2007-12-17 | 2009-06-18 | Asml Netherlands Bv | Diffraction based overlay metrology tool and method or diffraction based overlay metrology. |
| NL1036734A1 (en) | 2008-04-09 | 2009-10-12 | Asml Netherlands Bv | A method of assessing a model, an inspection apparatus and a lithographic apparatus. |
| NL1036857A1 (en) | 2008-04-21 | 2009-10-22 | Asml Netherlands Bv | Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method. |
| KR101295203B1 (en) | 2008-10-06 | 2013-08-09 | 에이에스엠엘 네델란즈 비.브이. | Lithographic focus and dose measurement using a 2-d target |
| NL2007176A (en) | 2010-08-18 | 2012-02-21 | Asml Netherlands Bv | Substrate for use in metrology, metrology method and device manufacturing method. |
| NL2010905A (en) * | 2012-06-22 | 2013-12-24 | Asml Netherlands Bv | Method of determining focus, inspection apparatus, patterning device, substrate and device manufacturing method. |
| CN107710073B (en) | 2015-06-12 | 2021-04-30 | Asml荷兰有限公司 | Inspection apparatus, inspection method, lithographic apparatus, patterning device, and manufacturing method |
| EP4155821A1 (en) * | 2021-09-27 | 2023-03-29 | ASML Netherlands B.V. | Method for focus metrology and associated apparatuses |
| EP4160314A1 (en) * | 2021-10-04 | 2023-04-05 | ASML Netherlands B.V. | Method for measuring at least one target on a substrate |
-
2023
- 2023-11-07 EP EP23208139.8A patent/EP4553579A1/en active Pending
-
2024
- 2024-10-10 WO PCT/EP2024/078539 patent/WO2025098704A1/en active Pending
- 2024-11-01 TW TW113141817A patent/TW202532979A/en unknown
Also Published As
| Publication number | Publication date |
|---|---|
| WO2025098704A1 (en) | 2025-05-15 |
| EP4553579A1 (en) | 2025-05-14 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| JP7542110B2 (en) | Determining corrections to the process | |
| TWI865583B (en) | Method of determining control setting for lithographic apparatus and related computer program product | |
| TWI769625B (en) | Method for determining a measurement recipe and associated apparatuses | |
| US11579535B2 (en) | Method of determining the contribution of a processing apparatus to a substrate parameter | |
| TWI853306B (en) | Method for focus metrology and associated apparatuses | |
| EP4394512A1 (en) | Source mask optimization based on systematic effects on a lithographic apparatus | |
| TW202532979A (en) | Focus metrology method and method for designing a focus target for focus metrology | |
| CN113544595A (en) | Method and apparatus for estimating substrate shape | |
| EP4538793A1 (en) | Method for designing a focus target for focus metrology | |
| TWI706233B (en) | Method, patterning device, lithographic system and non-transitory computer program product of determining a characteristic of interest relating to a structure on a substrate formed by a lithographic process | |
| CN113544588B (en) | Alignment method and associated metrology device | |
| TW202528850A (en) | Method for designing a focus target for focus metrology | |
| TW202209018A (en) | Method of wafer alignment using at resolution metrology on product features |