[go: up one dir, main page]

TW202526570A - Integrated circuit having microvault memories - Google Patents

Integrated circuit having microvault memories Download PDF

Info

Publication number
TW202526570A
TW202526570A TW113129768A TW113129768A TW202526570A TW 202526570 A TW202526570 A TW 202526570A TW 113129768 A TW113129768 A TW 113129768A TW 113129768 A TW113129768 A TW 113129768A TW 202526570 A TW202526570 A TW 202526570A
Authority
TW
Taiwan
Prior art keywords
micro
integrated circuit
read
data
memory
Prior art date
Application number
TW113129768A
Other languages
Chinese (zh)
Inventor
斯里德哈爾 穆昆
史蒂芬 德廷傑
米林德 韋林
英俊 朱
Original Assignee
美商慧盛材料美國有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國有限責任公司 filed Critical 美商慧盛材料美國有限責任公司
Publication of TW202526570A publication Critical patent/TW202526570A/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/40Crystalline structures
    • GPHYSICS
    • G06COMPUTING OR CALCULATING; COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • G06F1/18Packaging or power distribution
    • G06F1/183Internal mounting support structures, e.g. for printed circuit boards, internal connecting means
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/022Detection or location of defective auxiliary circuits, e.g. defective refresh counters in I/O circuitry
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1051Data output circuits, e.g. read-out amplifiers, data output buffers, data output registers, data output level conversion circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1078Data input circuits, e.g. write amplifiers, data input buffers, data input registers, data input level conversion circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/12Group selection circuits, e.g. for memory block selection, chip selection, array selection
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17748Structural details of configuration resources
    • H03K19/1776Structural details of configuration resources for memories
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/0415Manufacture or treatment of FETs having insulated gates [IGFET] of FETs having ferroelectric gate insulators
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/701IGFETs having ferroelectric gate insulators, e.g. ferroelectric FETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/031Manufacture or treatment of data-storage electrodes
    • H10D64/033Manufacture or treatment of data-storage electrodes comprising ferroelectric layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/66Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
    • H10D64/68Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
    • H10D64/689Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator having ferroelectric layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/674Thin-film transistors [TFT] characterised by the active materials
    • H10D30/6755Oxide semiconductors, e.g. zinc oxide, copper aluminium oxide or cadmium stannate
    • H10D30/6756Amorphous oxide semiconductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Human Computer Interaction (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computing Systems (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)
  • Medicinal Preparation (AREA)
  • Static Random-Access Memory (AREA)

Abstract

An integrated circuit is disclosed herein that may comprise a plurality of microvaults, with each of the microvaults disposed in a spaced relation relative to one another and adjacent to a first surface. The plurality of microvaults includes a first microvault. Additionally, the integrated circuit may comprise a plurality of bonding areas, with each bonding area disposed on the first surface and adjacent to a respective one of the plurality of microvaults. The plurality of bonding areas includes a first bonding area in operative communication with the first microvault.

Description

具有微儲存庫記憶體之積體電路Integrated circuit with micro-bank memory

本發明係關於積體電路。更特定言之,本發明係關於具有包含一微儲存庫記憶體之多個模組之積體電路。The present invention relates to an integrated circuit. More particularly, the present invention relates to an integrated circuit having a plurality of modules including a micro-bank memory.

小晶片係指經設計以作為一單一實體工作同時使用先進封裝技術之小型晶片。此等小型化晶片藉由將較大晶片分成若干較小晶片(各具有自身功能或能力)來創建。概念源於半導體行業需要克服傳統單片晶片設計之實體限制且達成更高整合度。小晶片背後之理念係創建互連及可互換晶片之一模組化系統,晶片可依不同組態組合以創建具有改良效能、功率效率及功能性之高級計算系統。Chiplets are small chips designed to function as a single entity while utilizing advanced packaging technologies. These miniaturized chips are created by breaking a larger chip into several smaller chips, each with its own unique function or capability. The concept stems from the semiconductor industry's need to overcome the physical limitations of traditional monolithic chip designs and achieve higher levels of integration. The idea behind chiplets is to create a modular system of interconnected and interchangeable chips that can be combined in different configurations to create advanced computing systems with improved performance, power efficiency, and functionality.

小晶片可基於不同架構(諸如CPU、GPU、記憶體或IO)且可取決於特定應用需求來依各種方式組裝及堆疊。小晶片方法之優點之一係能夠混合及匹配來自不同製造商之不同小晶片以創建滿足特定計算需求之客製解決方案。此方法亦允許更快上市時間、降低開發成本及增加靈活性,因為小晶片可在無需整個系統重新設計之情況下升級或更換。Chiplets can be based on different architectures (such as CPU, GPU, memory, or I/O) and can be assembled and stacked in various ways depending on specific application requirements. One advantage of the chiplet approach is the ability to mix and match different chiplets from different manufacturers to create customized solutions that meet specific computing needs. This approach also allows for faster time to market, lower development costs, and increased flexibility, as chiplets can be upgraded or replaced without requiring a complete system redesign.

小晶片之使用可用於各種行業,包含消費性電子產品、雲計算及資料中心,其中對高效能計算及能量效率之要求很高。預期小晶片將在未來計算中發揮重要作用且可為創建更強大及/或更先進電子裝置解鎖新可能性。Chiplets are used in a variety of industries, including consumer electronics, cloud computing, and data centers, where high-performance computing and energy efficiency are crucial. Chiplets are expected to play a significant role in future computing and unlock new possibilities for creating more powerful and/or advanced electronic devices.

本文中揭示一種可為一半導體裝置之部分之積體電路。本文中揭示一種製造方法或一種將資料寫入及讀取至模組之方法且其可與本文中所揭示之所有實例、實施例及態樣一起使用。Disclosed herein is an integrated circuit that can be part of a semiconductor device. Disclosed herein is a method of manufacturing or a method of writing and reading data to a module and can be used with all examples, embodiments, and aspects disclosed herein.

該積體電路可包括複數個微儲存庫,其中該等微儲存庫之各者相對於彼此依一間隔關係安置且相鄰於一第一表面。該複數個微儲存庫包含一第一微儲存庫。另外,該積體電路可包括複數個接合區域,其中各接合區域安置於該第一表面上且相鄰於該複數個微儲存庫之一各自者。該複數個接合區域包含與該第一微儲存庫操作地通信之一第一接合區域。The integrated circuit may include a plurality of micro-storage banks, wherein each of the micro-storage banks is disposed in a spaced relationship relative to one another and adjacent to a first surface. The plurality of micro-storage banks includes a first micro-storage bank. Furthermore, the integrated circuit may include a plurality of bonding regions, wherein each bonding region is disposed on the first surface and adjacent to a respective one of the plurality of micro-storage banks. The plurality of bonding regions includes a first bonding region in operative communication with the first micro-storage bank.

在一些實施例中,該積體電路可包括安置於該第一表面上且相鄰於該第一微儲存庫之一第一接合區域。此第一接合區域可視情況包含複數個接合,其中各接合與該第一微儲存庫操作地通信。In some embodiments, the integrated circuit may include a first bonding region disposed on the first surface and adjacent to the first micro-bank. The first bonding region may optionally include a plurality of bondings, wherein each bonding is in operative communication with the first micro-bank.

在一些實施例中,該第一接合區域可包含各與該第一微儲存庫操作地通信之複數個接合。視情況,此等複數個接合可為無凸塊接合。In some embodiments, the first bonding region may include a plurality of bondings each in operative communication with the first micro-bank. Optionally, the plurality of bondings may be bumpless bondings.

在一些實施例中,該積體電路可具有含在4千位元組至1百萬位元組之間的一容量之一第一微儲存庫。In some embodiments, the integrated circuit may have a first micro-storage bank having a capacity between 4 kilobytes and 1 million bytes.

在一些實施例中,該第一微儲存庫具有在4千位元組至128千位元組之間的一容量。In some embodiments, the first micro-storage has a capacity between 4 kilobytes and 128 kilobytes.

在一些實施例中,該等微儲存庫可具有在自4千位元組至16千位元組之範圍內之一儲存容量。In some embodiments, the micro-repositories may have a storage capacity ranging from 4 kilobytes to 16 kilobytes.

在一些實施例中,該第一微儲存庫可具有小於256微米×小於256微米之尺寸且在一垂直維度上延伸一預定距離。In some embodiments, the first micro-repository may have dimensions less than 256 microns by less than 256 microns and extend a predetermined distance in a vertical dimension.

在一些實施例中,該第一微儲存庫具有32微米×32微米之尺寸且垂直延伸一預定距離。In some embodiments, the first micro-repository has dimensions of 32 microns x 32 microns and extends vertically a predetermined distance.

在一些實施例中,該積體電路可涉及該第一微儲存庫具有對應於至少8個記憶體層之一垂直維度。明確而言,在一個實施例中,該第一微儲存庫具有32微米×32微米之尺寸且在一垂直維度上延伸一預定距離。在一些實施例中,此垂直維度對應於至少8個記憶體層。In some embodiments, the integrated circuit may involve the first micro-bank having a vertical dimension corresponding to at least eight memory layers. Specifically, in one embodiment, the first micro-bank has dimensions of 32 microns by 32 microns and extends a predetermined distance in a vertical dimension. In some embodiments, the vertical dimension corresponds to at least eight memory layers.

在一些實施例中,針對該微儲存庫之各層,該第一微儲存庫之位元密度可大於0.2吉位元/平方毫米。In some embodiments, the first micro-storage bank may have a bit density greater than 0.2 Gbit/mm2 for each layer of the micro-storage bank.

在一些實施例中,包含該第一微儲存庫之該複數個微儲存庫可安置於一晶粒之一後段製程部分上。In some embodiments, the plurality of micro-banks including the first micro-bank may be disposed on a back-end-of-line portion of a die.

視情況,該積體電路實施例可包含相鄰於該第一微儲存庫安置之一SRAM儲存庫。Optionally, the integrated circuit embodiment may include an SRAM memory bank disposed adjacent to the first micro memory bank.

該積體電路可包括相鄰於該第一微儲存庫安置之一SRAM儲存庫,其中該第一接合區域與該SRAM儲存庫操作地通信。在一些實施例中,該積體電路可包含與該SRAM儲存庫操作地通信之安置於該第一表面上之一第二接合區域。The integrated circuit may include an SRAM bank disposed adjacent to the first microbank, wherein the first bonding region is in operative communication with the SRAM bank. In some embodiments, the integrated circuit may include a second bonding region disposed on the first surface in operative communication with the SRAM bank.

在一些實施例中,該積體電路可進一步包括安置於該第一表面上且與該SRAM儲存庫操作地通信之一第二接合區域。該第二接合區域實現該SRAM儲存庫組件與系統中之其他組件之間的連接及資料傳送。In some embodiments, the integrated circuit may further include a second bonding area disposed on the first surface and in operative communication with the SRAM bank. The second bonding area enables connection and data transfer between the SRAM bank component and other components in the system.

在一些實施例中,該積體電路可涉及該複數個微儲存庫形成於一第一晶粒上且該SRAM儲存庫形成於一第二晶粒上,其中該第一及第二晶粒接合在一起。In some embodiments, the integrated circuit may involve the plurality of micro-banks formed on a first die and the SRAM bank formed on a second die, wherein the first and second dies are bonded together.

在一些實施例中,該積體電路可進一步包括相鄰於該微儲存庫安置之一DRAM (動態隨機存取記憶體)儲存庫。該DRAM儲存庫提供可與該微儲存庫記憶體一起利用之一額外記憶體儲存區域。In some embodiments, the integrated circuit may further include a DRAM (dynamic random access memory) bank positioned adjacent to the microbank. The DRAM bank provides an additional memory storage area that can be utilized in conjunction with the microbank memory.

在一些實施例中,該積體電路可涉及相鄰於該複數個微儲存庫安置之一DRAM儲存庫。該第一接合區域可與此DRAM儲存庫操作地通信以促進該第一微儲存庫與該DRAM儲存庫之間的資料傳送。In some embodiments, the integrated circuit may include a DRAM bank positioned adjacent to the plurality of micro banks. The first bonding region may be in operative communication with the DRAM bank to facilitate data transfer between the first micro bank and the DRAM bank.

在一些實施例中,該積體電路進一步包括安置於先前所提及之該第一表面上之一第二接合區域。此第二接合區域與先前亦提及之該DRAM儲存庫操作地通信。操作地通信允許資料及信號在該第二接合區域與該DRAM儲存庫之間交換。In some embodiments, the integrated circuit further includes a second bonding region disposed on the first surface. The second bonding region is in operative communication with the DRAM bank. The operative communication allows data and signals to be exchanged between the second bonding region and the DRAM bank.

在一些實施例中,該積體電路可包括形成於一第一晶粒上之複數個微儲存庫及形成於一第三晶粒上之一DRAM儲存庫。該第一及第三晶粒可剛性固定在一起。In some embodiments, the integrated circuit may include a plurality of micro-memory banks formed on a first die and a DRAM memory bank formed on a third die. The first and third dies may be rigidly fixed together.

在一些實施例中,該積體電路進一步包括操作地耦合至該第一接合區域之一讀取位址暫存器。該讀取位址暫存器可經組態以保存一讀取位址且將其傳送至該第一微儲存庫。In some embodiments, the integrated circuit further includes a read address register operatively coupled to the first bonding region. The read address register can be configured to store a read address and transmit it to the first micro-storage bank.

在一些實施例中,該積體電路進一步包括一讀取資料暫存器。該讀取資料暫存器可操作地耦合至該第一微儲存庫以自該第一微儲存庫接收及保存讀取資料。In some embodiments, the integrated circuit further includes a read data register operatively coupled to the first micro-storage bank to receive and store read data from the first micro-storage bank.

在一些實施例中,該積體電路進一步包括操作地耦合至該第一微儲存庫以自該第一微儲存庫接收及保存讀取資料之一讀取資料暫存器。該讀取資料暫存器可操作地耦合至該第一接合區域以將該保存讀取資料傳送至該第一接合區域。In some embodiments, the integrated circuit further includes a read data register operatively coupled to the first micro-storage bank to receive and store read data from the first micro-storage bank. The read data register is operatively coupled to the first bonding region to transfer the stored read data to the first bonding region.

該積體電路可包含操作地耦合至該複數個接合區域之一第二接合區域以將讀取資料傳送至該第二接合區域之一讀取資料暫存器。在一些實施例中,該讀取資料暫存器自該第一微儲存庫接收及保存讀取資料且接著將此讀取資料傳送至該第二接合區域。The integrated circuit may include a read data register operatively coupled to a second bonding region of the plurality of bonding regions to transfer read data to the second bonding region. In some embodiments, the read data register receives and stores read data from the first micro-storage and then transfers the read data to the second bonding region.

在一些實施例中,該積體電路可進一步包括與第一讀取資料暫存器操作地通信之一第二讀取資料暫存器。該第二讀取資料暫存器可經組態以自該第一讀取資料暫存器接收及保存讀取資料。In some embodiments, the integrated circuit may further include a second read data register in operative communication with the first read data register. The second read data register may be configured to receive and store read data from the first read data register.

該積體電路可涉及安置於具有一第二面之一晶粒上之一第二讀取資料暫存器,其中該第二面包含操作地耦合至該第一表面之該第一接合區域之一讀取資料接合區域。The integrated circuit may include a second read data register disposed on a die having a second side, wherein the second side includes a read data bonding region operatively coupled to the first bonding region of the first surface.

在一些實施例中,該積體電路可進一步包括安置於具有一第二面之一晶粒上之一第二讀取資料暫存器。此第二讀取資料暫存器經組態以自該第一讀取資料暫存器接收及保存讀取資料。視情況,該晶粒之此第二面包含操作地耦合至該第一表面之該第二接合區域之一讀取資料接合區域以促進資料在該等晶粒之間傳送。透過此接合,資料可自該第一晶粒上之該第一讀取資料暫存器傳送至該第二晶粒上之該第二讀取資料暫存器。In some embodiments, the integrated circuit may further include a second read data register disposed on a die having a second side. The second read data register is configured to receive and store read data from the first read data register. Optionally, the second side of the die includes a read data bonding region operatively coupled to the second bonding region of the first surface to facilitate data transfer between the dies. Through this bonding, data can be transferred from the first read data register on the first die to the second read data register on the second die.

在一些實施例中,該積體電路可進一步包括安置於不同於具有該複數個微儲存庫之該晶粒的一晶粒上之一第二讀取資料暫存器。In some embodiments, the integrated circuit may further include a second read data register disposed on a die different from the die having the plurality of micro-banks.

在一些實施例中,該積體電路進一步包括安置於不同於含有該複數個微儲存庫之該晶粒的一晶粒上之一第二讀取位址暫存器。位於一單獨晶粒上之此額外讀取位址暫存器可用於各種目的,諸如增加用於自該等微儲存庫讀取資料之儲存容量或頻寬。藉由使多個讀取位址暫存器橫跨離散晶粒分佈,可使撓性架構能夠滿足效能要求,同時最小化裝置佔用面積及功耗。In some embodiments, the integrated circuit further includes a second read address register located on a different die than the die containing the plurality of micro-repositories. This additional read address register on a separate die can be used for various purposes, such as increasing the storage capacity or bandwidth used to read data from the micro-repositories. By distributing multiple read address registers across discrete dies, a flexible architecture can be implemented to meet performance requirements while minimizing device footprint and power consumption.

在一些實施例中,該積體電路進一步包括一第二讀取位址暫存器。該第二讀取位址暫存器經組態以接收及保存一讀取位址。視情況,該第二讀取位址暫存器將該讀取位址傳送至該第一微儲存庫。In some embodiments, the integrated circuit further includes a second read address register configured to receive and store a read address. Optionally, the second read address register transmits the read address to the first micro-storage bank.

除該讀取位址暫存器及第二讀取位址暫存器之外,該積體電路可進一步包括安置於一第二表面上之一第二接合區域。該第二接合區域在該第二表面上提供一介面以促進與讀取定址功能相關之通信及資料傳送。由於具有此第二接合區域,該積體電路能夠橫跨多個表面及晶粒介接讀取定址架構。In addition to the read address register and the second read address register, the integrated circuit may further include a second bonding region disposed on a second surface. The second bonding region provides an interface on the second surface to facilitate communication and data transfer associated with read addressing functions. With this second bonding region, the integrated circuit can interface the read addressing architecture across multiple surfaces and dies.

在一些實施例中,該積體電路可進一步包括一第二讀取位址暫存器。該第二讀取位址暫存器可安置於具有一第二表面之一第二晶粒上。該第二讀取位址暫存器可經組態以接收及保存該讀取位址。另外,該第二讀取位址暫存器可經由該第二表面將該讀取位址傳送至該第一晶粒之該讀取位址暫存器,其中該第二晶粒之該第二表面及該第一晶粒之該第一表面可接合在一起。In some embodiments, the integrated circuit may further include a second read address register. The second read address register may be disposed on a second die having a second surface. The second read address register may be configured to receive and store the read address. Furthermore, the second read address register may transmit the read address to the read address register of the first die via the second surface, wherein the second surface of the second die and the first surface of the first die may be bonded together.

在一些實施例中,該積體電路進一步包括一第二表面,其上安置有一第二讀取位址暫存器。該第二讀取位址暫存器經組態以接收及保存該讀取位址且將該讀取位址傳送至該第一表面之該讀取位址暫存器。視情況,該第二表面及該第一表面可接合在一起以促進該讀取位址在該兩個表面之間的通信。In some embodiments, the integrated circuit further includes a second surface on which a second read address register is disposed. The second read address register is configured to receive and store the read address and transmit the read address to the read address register on the first surface. Optionally, the second surface and the first surface can be bonded together to facilitate communication of the read address between the two surfaces.

在一些實施例中,該積體電路進一步包括一穿矽通路,其在該穿矽通路之一第一端上操作地耦合至一第三表面,其中該第三表面位於該第一表面之一對置側上。In some embodiments, the integrated circuit further includes a through-silicon via (TSV) operatively coupled to a third surface at a first end of the TSV, wherein the third surface is located on an opposite side of the first surface.

在一些實施例中,該積體電路進一步包括耦合至其中安置該複數個微儲存庫之該第一表面之一互連件。此互連件亦耦合至促進晶粒之間的通信之一穿矽通路之一第二端。該穿矽通路具有耦合至該第一表面之該對置側上之一第三表面之一第一端。In some embodiments, the integrated circuit further includes an interconnect coupled to the first surface in which the plurality of micro-banks are disposed. The interconnect is also coupled to a second end of a through-silicon via (TSV) for facilitating communication between the dies. The TSV has a first end coupled to a third surface on the opposite side of the first surface.

在一些實施例中,該積體電路進一步包括與該第一接合區域操作地通信之一第二微儲存庫。In some embodiments, the integrated circuit further includes a second micro-storage bank in operative communication with the first bonding region.

在一些實施例中,該積體電路可進一步包括操作地耦合至該第一微儲存庫以自該第一微儲存庫接收一第一讀取資料之一多工器。該多工器亦可操作地耦合至該第二微儲存庫以自該第二微儲存庫接收一第二讀取資料。該多工器可經組態以在來自該第一微儲存庫之該第一讀取資料與來自該第二微儲存庫之該第二讀取資料之間選擇用於輸出。In some embodiments, the integrated circuit may further include a multiplexer operatively coupled to the first micro-storage bank to receive first read data from the first micro-storage bank. The multiplexer may also be operatively coupled to the second micro-storage bank to receive second read data from the second micro-storage bank. The multiplexer may be configured to select between the first read data from the first micro-storage bank and the second read data from the second micro-storage bank for output.

在一些實施例中,該積體電路進一步包括操作地耦合至該多工器之一計數器。該計數器經組態以控制該多工器串列讀出來自該第一微儲存庫之該第一讀取資料及來自該第二微儲存庫之該第二讀取資料。In some embodiments, the integrated circuit further includes a counter operatively coupled to the multiplexer, the counter being configured to control the multiplexer to serially read out the first read data from the first micro-storage bank and the second read data from the second micro-storage bank.

在一些實施例中,該積體電路進一步包括經組態以接收由該多工器選擇之來自該第一微儲存庫之該第一讀取資料或來自該第二微儲存庫之該第二讀取資料之一讀取資料暫存器。該讀取資料暫存器中保存該接收之第一讀取資料或第二讀取資料。In some embodiments, the integrated circuit further includes a read data register configured to receive the first read data from the first micro-storage bank or the second read data from the second micro-storage bank selected by the multiplexer. The read data register stores the received first read data or the second read data.

在一些實施例中,該積體電路進一步包括該第一表面上之一第二接合區域。該讀取資料暫存器耦合至此第二接合區域以將該保存之第一讀取資料或第二讀取資料自該多工器傳送至該第二接合區域。In some embodiments, the integrated circuit further includes a second bonding region on the first surface. The read data register is coupled to the second bonding region to transfer the stored first read data or second read data from the multiplexer to the second bonding region.

在一些實施例中,該積體電路進一步包括一總成,其包含具有該複數個微儲存庫之一第一晶粒。In some embodiments, the integrated circuit further includes an assembly comprising a first die having the plurality of micro-banks.

在一些實施例中,該積體電路進一步包括一總成,其包含具有該複數個微儲存庫之一第一晶粒。該第一晶粒上之此複數個微儲存庫包含該第一微儲存庫及一第二微儲存庫。In some embodiments, the integrated circuit further includes an assembly comprising a first die having the plurality of micro-storage banks. The plurality of micro-storage banks on the first die include the first micro-storage bank and a second micro-storage bank.

在一些實施例中,該積體電路進一步包括一總成,其包含:一第一晶粒,其具有包含一第一微儲存庫及一第二微儲存庫之該複數個微儲存庫;以及一第二晶粒,其包括一讀取位址暫存器及一讀取資料暫存器。在此總成中,該第一晶粒可接合至該第二晶粒。In some embodiments, the integrated circuit further includes an assembly comprising: a first die having the plurality of micro-storage banks including a first micro-storage bank and a second micro-storage bank; and a second die including a read address register and a read data register. In this assembly, the first die may be bonded to the second die.

在一些實施例中,該積體電路可涉及具有接合在一起之一第一晶粒及一第二晶粒之一總成。該第一晶粒可具有包含一第一微儲存庫及一第二微儲存庫之複數個微儲存庫,同時該第二晶粒可具有一讀取位址暫存器及一讀取資料暫存器。除先前所描述之該第一接合區域之外,該第一晶粒亦可包含該第一表面上之一第二接合區域。此外,該第二晶粒可包含一第三接合區域及一第四接合區域。該等各種接合區域可經連接使得該第一晶粒之該第一接合區域耦合至該第二晶粒之該第三接合區域,同時該第一晶粒之該第二接合區域耦合至該第二晶粒之該第四接合區域。In some embodiments, the integrated circuit may involve an assembly having a first die and a second die bonded together. The first die may have a plurality of micro-storage banks including a first micro-storage bank and a second micro-storage bank, while the second die may have a read address register and a read data register. In addition to the first bonding area previously described, the first die may also include a second bonding area on the first surface. Furthermore, the second die may include a third bonding area and a fourth bonding area. The various bonding areas may be connected such that the first bonding area of the first die is coupled to the third bonding area of the second die, while the second bonding area of the first die is coupled to the fourth bonding area of the second die.

在一些實施例中,該積體電路進一步包括操作地耦合至該第二晶粒之該第三接合區域以將讀取位址傳送至該第三接合區域之一讀取位址暫存器。該讀取位址暫存器經組態以保存讀取位址且經由耦合至該第一晶粒之該第一接合區域之該第三接合區域將該等讀取位址傳送至該第一晶粒上之該第一微儲存庫。In some embodiments, the integrated circuit further includes a read address register operatively coupled to the third bonding region of the second die to transfer a read address to the third bonding region. The read address register is configured to store read addresses and transfer the read addresses to the first micro-bank on the first die via the third bonding region coupled to the first bonding region of the first die.

在一些實施例中,該積體電路進一步包括操作地耦合至該第二晶粒之一第四接合區域以自該第四接合區域接收讀取資料之一讀取資料暫存器。該讀取資料暫存器經組態以接收及保存自接合至具有該複數個微儲存庫之該第一晶粒之該第二晶粒之該第四接合區域傳送之讀取資料。In some embodiments, the integrated circuit further includes a read data register operatively coupled to a fourth bonding region of the second die to receive read data from the fourth bonding region. The read data register is configured to receive and store read data transmitted from the fourth bonding region of the second die bonded to the first die having the plurality of micro-banks.

在一些實施例中,該積體電路可涉及包含具有諸如一第一微儲存庫及一第二微儲存庫之複數個微儲存庫之一第一晶粒之一總成。該第一晶粒亦可包含經組態以接收及保存一讀取位址之一第二讀取位址暫存器。此第二讀取位址暫存器可經組態以將一讀取位址傳送至該第一微儲存庫及該第二微儲存庫。In some embodiments, the integrated circuit may include an assembly comprising a first die having a plurality of micro-storage banks, such as a first micro-storage bank and a second micro-storage bank. The first die may also include a second read address register configured to receive and store a read address. The second read address register may be configured to transmit a read address to the first micro-storage bank and the second micro-storage bank.

在一些實施例中,該總成可包含一第一晶粒,其具有包含一第一微儲存庫及一第二微儲存庫之複數個微儲存庫。該第一晶粒可進一步包括經組態以在該第一微儲存庫之一輸出與該第二微儲存庫之一輸出之間選擇之一多工器。In some embodiments, the assembly may include a first die having a plurality of micro-banks including a first micro-bank and a second micro-bank. The first die may further include a multiplexer configured to select between an output of the first micro-bank and an output of the second micro-bank.

在一些實施例中,該積體電路可進一步包括具有該複數個微儲存庫之該第一晶粒之一第二表面上之一第二接合區域。一互連件可將此第二接合區域連接至一多工器之一輸入,該多工器經組態以在該第一微儲存庫之該輸出、該第二微儲存庫之該輸出及自該第二接合區域接收之通信之間選擇。In some embodiments, the integrated circuit may further include a second bonding region on a second surface of the first die having the plurality of micro-banks. An interconnect may connect the second bonding region to an input of a multiplexer configured to select between the output of the first micro-bank, the output of the second micro-bank, and communications received from the second bonding region.

在一些實施例中,該積體電路可進一步包括經組態以控制在來自該第一微儲存庫及第二微儲存庫之輸出之間選擇之該多工器之一相位計數器。該相位計數器促進透過使用該多工器來自該多個微儲存庫循序讀出資料。In some embodiments, the integrated circuit may further include a phase counter configured to control the multiplexer that selects between the outputs from the first micro-bank and the second micro-bank. The phase counter facilitates sequentially reading data from the plurality of micro-banks using the multiplexer.

在一些實施例中,該積體電路可進一步包括經組態以接收及保存該多工器之一輸出之一第三位址暫存器。該多工器可經組態以在該複數個微儲存庫之一者之間選擇,且一相位計數器可經組態以控制該多工器之選擇。該第三位址暫存器可接收及保存由該多工器選擇之輸出。In some embodiments, the integrated circuit may further include a third address register configured to receive and store an output of the multiplexer. The multiplexer may be configured to select between one of the plurality of micro-banks, and a phase counter may be configured to control the selection of the multiplexer. The third address register may receive and store the output selected by the multiplexer.

該積體電路可涉及一總成,其包含:一第一晶粒,其具有包含一第一微儲存庫及一第二微儲存庫之複數個微儲存庫;以及一第二晶粒,其具有一讀取位址暫存器及一讀取資料暫存器,其中該第一晶粒接合至該第二晶粒。在一些實施例中,該第一晶粒可進一步包括:一多工器,其經組態以在該第一微儲存庫之一輸出與該第二微儲存庫之一輸出之間選擇;以及一第三位址暫存器,其經組態以接收及保存該多工器之一輸出。視情況,該第三位址暫存器可操作地耦合至該第一晶粒之一第二接合區域。The integrated circuit may include an assembly comprising: a first die having a plurality of micro-banks including a first micro-bank and a second micro-bank; and a second die having a read address register and a read data register, wherein the first die is bonded to the second die. In some embodiments, the first die may further include: a multiplexer configured to select between an output of the first micro-bank and an output of the second micro-bank; and a third address register configured to receive and store an output of the multiplexer. Optionally, the third address register may be operatively coupled to a second bonding region of the first die.

該積體電路可進一步包括耦合至該讀取位址暫存器及其上安置該讀取位址暫存器之該晶粒之一第二表面之一穿矽通路(TSV)。在一些實施例中,該TSV促進諸如一讀取位址之資料在該讀取位址暫存器與位於該晶粒之對置側上之組件之間傳送。The integrated circuit may further include a through-silicon via (TSV) coupled to the read address register and a second surface of the die on which the read address register is disposed. In some embodiments, the TSV facilitates the transfer of data, such as a read address, between the read address register and components on an opposite side of the die.

在一些實施例中,該積體電路進一步包括耦合至該第二表面上之該第一晶粒之一第二接合區域及一第二讀取資料暫存器之一第二穿矽通路(TSV)。該第二TSV促進資料在該第一晶粒之該第二表面上之該第二接合區域與另一晶粒上之該第二讀取資料暫存器之間傳送。此組態實現讀取資料在堆疊且接合在一起之多個晶粒之間高效傳送。In some embodiments, the integrated circuit further includes a second through-silicon via (TSV) coupled to a second bonding region of the first die on the second surface and a second read data register. The second TSV facilitates data transfer between the second bonding region on the second surface of the first die and the second read data register on another die. This configuration enables efficient transfer of read data between multiple dies that are stacked and bonded together.

在一些實施例中,該積體電路可包括經組態以在該複數個微儲存庫之一者之間選擇之一多工器。該多工器能夠依一選擇性方式自不同微儲存庫存取資料。In some embodiments, the integrated circuit may include a multiplexer configured to select between one of the plurality of micro-storage banks. The multiplexer can access data from different micro-storage banks in a selective manner.

在一些實施例中,該積體電路可進一步包括經組態以控制在該複數個微儲存庫之一者之間選擇之該多工器之選擇操作之一相位計數器。該相位計數器將控制信號提供至該多工器以促進自多個微儲存庫循序存取資料。In some embodiments, the integrated circuit may further include a phase counter configured to control a selection operation of the multiplexer that selects one of the plurality of micro-banks. The phase counter provides a control signal to the multiplexer to facilitate sequential access of data from the plurality of micro-banks.

在一些實施例中,該積體電路進一步包括操作地耦合至具有該複數個微儲存庫之一晶粒之第一表面及一第二表面之一穿矽通路(TSV)。該TSV促進具有該複數個微儲存庫及接合區域之該第一表面與該晶粒之對置第二表面之間的通信。In some embodiments, the integrated circuit further includes a through-silicon via (TSV) operatively coupled to a first surface and a second surface of a die having the plurality of micro-banks. The TSV facilitates communication between the first surface having the plurality of micro-banks and bonding areas and an opposing second surface of the die.

在一些實施例中,該積體電路進一步包括經組態以促進耦合至具有該複數個微儲存庫之一第一晶粒之一第二晶粒之間的通信之一穿矽通路。該穿矽通路實現該微儲存庫晶粒與一多晶粒總成中之額外晶粒之間的晶粒間通信及整合。In some embodiments, the integrated circuit further includes a through-silicon via (TSV) configured to facilitate communication between a first die and a second die coupled to the plurality of micro-banks. The TSV enables inter-die communication and integration between the micro-bank die and additional dies in a multi-die assembly.

在一些實施例中,該積體電路可涉及包括由複數個電晶體形成之至少一行3D-NOR之該第一微儲存庫。此等3D-NOR行中之各電晶體可包含耦合至一讀取-寫入啟用線之一閘極、耦合至一位元線之一源極及耦合至一選擇線之一汲極。In some embodiments, the integrated circuit may include the first micro-bank comprising at least one row of 3D-NOR transistors formed from a plurality of transistors. Each transistor in the 3D-NOR row may include a gate coupled to a read-write enable line, a source coupled to a bit line, and a drain coupled to a select line.

在一些實施例中,該積體電路可包括包含由多個電晶體形成之一行3D-NAND之一第一微儲存庫。該行中之各電晶體可具有耦合至一讀取/寫入啟用線之一閘極端子、耦合至一位元線之一源極端子及耦合至該行中之一相鄰第二電晶體之一源極端子之一汲極端子。該第一微儲存庫中之該3D-NAND行組態可促進該等電晶體密集垂直堆疊,同時允許透過獨立啟用線單獨控制讀取及寫入操作。In some embodiments, the integrated circuit may include a first micro-bank comprising a row of 3D-NAND transistors formed from a plurality of transistors. Each transistor in the row may have a gate terminal coupled to a read/write enable line, a source terminal coupled to a bit line, and a drain terminal coupled to a source terminal of an adjacent second transistor in the row. The 3D-NAND row configuration in the first micro-bank may facilitate dense vertical stacking of the transistors while allowing independent control of read and write operations via separate enable lines.

在一些實施例中,該積體電路可包括一第一微儲存庫,其包含由複數個電晶體形成之具有一傳遞閘極之一行3D-NAND。該行3D-NAND中之各電晶體可具有耦合至一讀取/寫入啟用線之一閘極、耦合至一位元線之一源極及耦合至一第二電晶體之一源極之一汲極。另外,一傳遞閘極可耦合至該行3D-NAND中之所有該複數個電晶體。In some embodiments, the integrated circuit may include a first micro-bank comprising a row of 3D-NAND transistors having a transfer gate formed from a plurality of transistors. Each transistor in the row of 3D-NAND transistors may have a gate coupled to a read/write enable line, a source coupled to a bit line, and a drain coupled to a source of a second transistor. Furthermore, a transfer gate may be coupled to all of the plurality of transistors in the row of 3D-NAND transistors.

在一些實施例中,該積體電路可包括一第一微儲存庫,其包含由多個電晶體形成之具有獨立讀取及寫入啟用之一行3D-NOR (三維NOR閘)。該等3D-NOR閘內之各電晶體可具有耦合至一位元線之一源極端子、耦合至一讀取啟用線之一汲極端子及耦合至一寫入啟用線之一閘極端子。該獨立讀取及寫入啟用線允許單獨控制自該微儲存庫讀取及寫入至該微儲存庫。In some embodiments, the integrated circuit may include a first micro-bank comprising a row of 3D-NOR (three-dimensional NOR) gates formed from a plurality of transistors with independent read and write enable lines. Each transistor within the 3D-NOR gates may have a source terminal coupled to a bit line, a drain terminal coupled to a read enable line, and a gate terminal coupled to a write enable line. The independent read and write enable lines allow for independent control of reading from and writing to the micro-bank.

在一些實施例中,該複數個微儲存庫可包含經組態以耗散由該等微儲存庫在操作期間產生之熱之一熱管理層。此熱管理層可視情況涉及選自由銅、鋁、金剛石及石墨烯組成之一群組之具有高導熱性之一材料以促進散熱。In some embodiments, the plurality of micro-banks may include a thermal management layer configured to dissipate heat generated by the micro-banks during operation. The thermal management layer may optionally include a material with high thermal conductivity selected from the group consisting of copper, aluminum, diamond, and graphene to facilitate heat dissipation.

在一些實施例中,該積體電路進一步包括經組態以耗散由該等微儲存庫在操作期間產生之熱之一熱管理層。此熱管理層可視情況包括選自由銅、鋁、金剛石及石墨烯組成之一群組之具有高導熱性之一材料。In some embodiments, the integrated circuit further includes a thermal management layer configured to dissipate heat generated by the micro-storage banks during operation. The thermal management layer may optionally include a material with high thermal conductivity selected from the group consisting of copper, aluminum, diamond, and graphene.

在一些實施例中,該積體電路進一步包括操作地耦合至至少一個微儲存庫之一基於硬體之加密模組。該加密模組用於使寫入至該微儲存庫或自該微儲存庫讀取之資料安全。藉由將基於硬體之加密併入至該積體電路中,可保護儲存於該等微儲存庫中之資料。In some embodiments, the integrated circuit further includes a hardware-based encryption module operatively coupled to at least one micro-storage. The encryption module is configured to secure data written to or read from the micro-storage. By incorporating hardware-based encryption into the integrated circuit, data stored in the micro-storages can be protected.

在一些實施例中,該積體電路進一步包括經組態以調整供應至該複數個微儲存庫之電壓及電流之一功率管理電路。該功率管理電路調整電壓及電流可基於該等微儲存庫之操作狀態。例如,該功率管理電路可包含在不活動週期期間減少該等微儲存庫之功率供應之一低功率模式。In some embodiments, the integrated circuit further includes a power management circuit configured to regulate the voltage and current supplied to the plurality of micro-banks. The power management circuit may regulate the voltage and current based on the operating state of the micro-banks. For example, the power management circuit may include a low-power mode that reduces the power supplied to the micro-banks during periods of inactivity.

該積體電路可包含經組態以基於該複數個微儲存庫之操作狀態來調整供應至該複數個微儲存庫之電壓及電流之一功率管理電路。在一些實施例中,該功率管理電路包含在不活動週期期間減少該等微儲存庫之功率供應之一低功率模式。The integrated circuit may include a power management circuit configured to adjust the voltage and current supplied to the plurality of micro-storage banks based on the operating status of the plurality of micro-storage banks. In some embodiments, the power management circuit includes a low-power mode that reduces the power supply to the micro-storage banks during inactive periods.

在一些實施例中,該積體電路可進一步包括操作地耦合至該複數個微儲存庫之一信號調節電路。該信號調節電路可經組態以增強資料來回傳送於該等微儲存庫之信號完整性。該信號調節電路之選用組件可包含濾波器、放大器或錯誤校正編碼器。In some embodiments, the integrated circuit may further include a signal conditioning circuit operatively coupled to the plurality of micro-banks. The signal conditioning circuit may be configured to enhance the signal integrity of data transmitted to and from the micro-banks. Optional components of the signal conditioning circuit may include filters, amplifiers, or error correction encoders.

在一些實施例中,該積體電路進一步包括操作地耦合至該複數個微儲存庫以增強資料傳送之信號完整性之一信號調節電路。該信號調節電路可涉及用於增強信號完整性之濾波器、放大器或錯誤校正編碼器。In some embodiments, the integrated circuit further includes a signal conditioning circuit operatively coupled to the plurality of micro-banks to enhance signal integrity of data transmission. The signal conditioning circuit may include a filter, an amplifier, or an error correction encoder for enhancing signal integrity.

在一些實施例中,該積體電路進一步包括經組態以監測該等微儲存庫之健康及效能且向一外部控制器報告度量之一診斷模組。該診斷模組能夠對該等微儲存庫執行自測試且在偵測到故障時產生警示。In some embodiments, the integrated circuit further includes a diagnostic module configured to monitor the health and performance of the micro-storage banks and report metrics to an external controller. The diagnostic module can perform self-tests on the micro-storage banks and generate alerts when a fault is detected.

在一些實施例中,該積體電路進一步包括經組態以監測該等微儲存庫之健康及效能且向一外部控制器報告度量之一診斷模組。視情況,該診斷模組能夠對該等微儲存庫執行自測試且在偵測到故障時產生警示。In some embodiments, the integrated circuit further includes a diagnostic module configured to monitor the health and performance of the micro-storage banks and report metrics to an external controller. Optionally, the diagnostic module can perform self-tests on the micro-storage banks and generate alerts when a fault is detected.

在一些實施例中,各微儲存庫可包含能夠隔離及繞過故障記憶體格之一內建自修復機構。該自修復機構可視情況利用呈可經動態分配以替換該等故障格之備用記憶體格之形式之冗餘。In some embodiments, each micro-repository may include a built-in self-repair mechanism capable of isolating and bypassing failed memory cells. The self-repair mechanism may optionally utilize redundancy in the form of spare memory cells that can be dynamically allocated to replace the failed cells.

在一些實施例中,各微儲存庫可包含能夠隔離及繞過故障記憶體格之一內建自修復機構。此自修復機構可利用呈可經動態分配以替換偵測到之任何故障格之備用記憶體格之形式之冗餘。In some embodiments, each micro-repository may include a built-in self-repair mechanism capable of isolating and bypassing failed memory cells. This self-repair mechanism may utilize redundancy in the form of spare memory cells that can be dynamically allocated to replace any detected failed cells.

在一些實施例中,該等微儲存庫可依一矩陣組態配置以實現並行處理及資料擷取。In some embodiments, the micro-repositories can be arranged in a matrix configuration to enable parallel processing and data retrieval.

在一些實施例中,該等微儲存庫可依一矩陣組態配置以實現並行處理及資料擷取。該矩陣組態可視情況包含用於促進對個別微儲存庫之存取之列及行解碼器。In some embodiments, the micro-repositories may be arranged in a matrix configuration to enable parallel processing and data retrieval. The matrix configuration may optionally include row and column decoders to facilitate access to individual micro-repositories.

在一些實施例中,該積體電路進一步包括一撓性基板。該撓性基板使該積體電路能夠順應非平坦表面。In some embodiments, the integrated circuit further includes a flexible substrate. The flexible substrate enables the integrated circuit to conform to non-planar surfaces.

在一些實施例中,該積體電路進一步包括使該電路能夠順應非平坦表面之一撓性基板。該撓性基板可包括諸如聚醯亞胺、PEEK (聚醚醚酮)、液晶聚合物、撓性玻璃或其等之組合之材料。由於使用一撓性基板,實施例可應用於且適當作用於彎曲或不規則表面。In some embodiments, the integrated circuit further includes a flexible substrate that enables the circuit to conform to non-planar surfaces. The flexible substrate may include materials such as polyimide, PEEK (polyetheretherketone), liquid crystal polymer, flexible glass, or combinations thereof. Due to the use of a flexible substrate, embodiments are applicable and work well on curved or irregular surfaces.

在一些實施例中,該積體電路可涉及該第一微儲存庫經組態以作為一處理器之一快取記憶體操作。該快取記憶體可依諸如直寫、回寫、繞寫或其等之一組合之一或多個模式作用。In some embodiments, the integrated circuit may involve the first micro-bank being configured to operate as a cache memory of a processor. The cache memory may function in one or more modes such as write-through, write-back, write-bypass, or a combination thereof.

在一些實施例中,該第一微儲存庫可經組態以作為一處理器之一快取記憶體操作。該快取記憶體可依諸如直寫、回寫、繞寫或其等之一組合之一或多個快取模式操作。In some embodiments, the first micro-repository can be configured to operate as a cache memory of a processor. The cache memory can operate according to one or more cache modes such as write-through, write-back, write-bypass, or a combination thereof.

在一些實施例中,該複數個微儲存庫可形成一獨立記憶體元件冗餘陣列。該獨立記憶體元件冗餘陣列實現錯誤校正且在記憶體失效時促進資料恢復。明確而言,該第一微儲存庫可經組態為一獨立記憶體區塊冗餘陣列中之一個元件以允許一個區塊中之資料錯誤或失效由其他獨立區塊重建。該冗餘陣列架構提供容錯及可靠性以幫助確保操作連續性。In some embodiments, the plurality of micro-banks may form a redundant array of independent memory elements. This redundant array of independent memory elements enables error correction and facilitates data recovery in the event of memory failures. Specifically, the first micro-bank may be configured as a component of a redundant array of independent memory blocks, allowing data errors or failures in one block to be reconstructed from other independent blocks. This redundant array architecture provides fault tolerance and reliability to help ensure operational continuity.

在一些實施例中,該第一微儲存庫可包含用於促進記憶體格之間的資料路由之一縱橫開關架構。此縱橫開關架構可實現該積體電路內之無阻斷資料傳送。In some embodiments, the first micro-storage bank may include a crossbar switch architecture for facilitating data routing between memory cells. The crossbar switch architecture may enable uninterrupted data transfer within the integrated circuit.

該積體電路可涉及用於促進記憶體格之間的資料路由之與該第一微儲存庫相關聯之一縱橫開關架構。在一些實施例中,此縱橫開關架構經組態以實現該積體電路內之無阻斷資料傳送。The integrated circuit may include a crossbar switch architecture associated with the first micro-storage bank for facilitating data routing between memory cells. In some embodiments, the crossbar switch architecture is configured to enable non-blocking data transfer within the integrated circuit.

在一些實施例中,該積體電路可涉及該第一微儲存庫包含一專用讀取周邊裝置。明確而言,該第一微儲存庫自身可視情況具有用於促進資料讀取之與其他組件分離之讀取周邊裝置。該第一微儲存庫之此專用讀取周邊裝置實現最佳化讀出效能。In some embodiments, the integrated circuit may include a dedicated read peripheral device in the first micro-storage. Specifically, the first micro-storage may optionally include its own read peripheral device, separate from other components, for facilitating data reading. This dedicated read peripheral device in the first micro-storage achieves optimized read performance.

在一些實施例中,該積體電路可包含操作地耦合至該第一微儲存庫之一專用讀取埠。此允許獨立於寫入操作對該第一微儲存庫執行讀取操作以實現同時讀取及寫入存取。該專用讀取埠藉由消除讀取與寫入資料之間的競爭來提高總資料處理量。In some embodiments, the integrated circuit may include a dedicated read port operatively coupled to the first micro-bank. This allows read operations to be performed independently of write operations on the first micro-bank, enabling simultaneous read and write access. The dedicated read port improves overall data throughput by eliminating contention between read and write data.

在一些實施例中,該積體電路可包含與該第一微儲存庫相關聯之一專用寫入周邊裝置。此允許由適合於高效寫入之專用寫入電路系統處置對該第一微儲存庫之寫入操作。該專用寫入周邊裝置藉由最佳化寫入路徑來促進該微儲存庫內之快速且可靠資料儲存。In some embodiments, the integrated circuit may include a dedicated write peripheral associated with the first micro-storage. This allows write operations to the first micro-storage to be handled by dedicated write circuitry adapted for efficient writes. The dedicated write peripheral facilitates fast and reliable data storage within the micro-storage by optimizing the write path.

在一些實施例中,該積體電路可包含操作地耦合至該第一微儲存庫之一專用寫入埠。此專用寫入埠促進獨立於讀取操作將資料寫入至該第一微儲存庫以實現同時讀取及寫入存取。該專用寫入埠可提高來回於該微儲存庫之總資料處理量。In some embodiments, the integrated circuit may include a dedicated write port operatively coupled to the first micro-storage bank. This dedicated write port facilitates writing data to the first micro-storage bank independently of read operations to enable simultaneous read and write access. The dedicated write port can increase the overall data throughput to and from the micro-storage bank.

該積體電路可包括一第一電晶體,其具有使用一半導體材料形成之一通道層及剛性耦合至該通道層之一鐵電層。該第一電晶體可進一步包括固定至該通道層之一源極端子、固定至該通道層之一汲極端子及固定至該鐵電層之一閘極端子。The integrated circuit may include a first transistor having a channel layer formed using a semiconductor material and a ferroelectric layer rigidly coupled to the channel layer. The first transistor may further include a source terminal fixed to the channel layer, a drain terminal fixed to the channel layer, and a gate terminal fixed to the ferroelectric layer.

在一些實施例中,該第一電晶體之該通道層可由多晶矽形成。將多晶矽用於該通道層提供允許該電晶體正常運行之已知電性質。In some embodiments, the channel layer of the first transistor may be formed of polysilicon. Using polysilicon for the channel layer provides known electrical properties that allow the transistor to operate properly.

在一些實施例中,該電晶體之該通道層可由一非晶氧化物半導體形成。此非晶氧化物半導體材料可提供所要性質,諸如在用作該通道層時之高載子遷移率及低切斷狀態電流,同時亦在後段製程處理期間與標準CMOS材料之頂部上之沈積相容。可利用之非晶氧化物半導體之實例包含氧化銦、氧化銦鎵鋅(IGZO)、氧化鋅錫(ZTO)、氧化銦鋅(IZO)、氧化鎵鋅(GZO)、氧化鋁鋅(AZO)、氧化鎘、氧化鉿銦鋅(HIZO)、氧化錫及氧化銦錫鋅(ITZO)等。該非晶氧化物半導體通道層亦可摻雜有諸如鎵、銦、鋅、錫、鉿、矽、鋁及其他之元素以最佳化載子濃度及遷移率。In some embodiments, the channel layer of the transistor can be formed from an amorphous oxide semiconductor. Such amorphous oxide semiconductor materials can provide desirable properties, such as high carrier mobility and low off-state current when used as the channel layer, while also being compatible with deposition on top of standard CMOS materials during back-end processing. Examples of usable amorphous oxide semiconductors include indium oxide, indium gallium zinc oxide (IGZO), zinc tin oxide (ZTO), indium zinc oxide (IZO), gallium zinc oxide (GZO), aluminum zinc oxide (AZO), cadmium oxide, indium zinc oxide (HIZO), tin oxide, and indium tin zinc oxide (ITZO). The amorphous oxide semiconductor channel layer may also be doped with elements such as gallium, indium, zinc, tin, cobalt, silicon, aluminum, and others to optimize carrier concentration and mobility.

在一些實施例中,形成該第一電晶體之該通道層之該非晶氧化物半導體可包含以下之至少一者:氧化銦、氧化銦鎵鋅、氧化鋅錫、氧化銦鋅、氧化鎵鋅、氧化鋁鋅、氧化鎘、氧化鉿銦鋅、氧化錫、氧化亞錫、二氧化錫、氧化銦錫鋅、氧化銦鎢、氧化銦鎵鋅錫、氮氧化銦鎵鋅、氧化鋁銦鎵鋅及氧化鋅銦。In some embodiments, the amorphous oxide semiconductor forming the channel layer of the first transistor may include at least one of the following: indium oxide, indium gallium zinc oxide, zinc tin oxide, indium zinc oxide, gallium zinc oxide, aluminum zinc oxide, cadmium oxide, cadmium indium zinc oxide, tin oxide, stannous oxide, tin dioxide, indium tin zinc oxide, indium tungsten oxide, indium gallium zinc tin oxide, indium gallium zinc oxynitride, aluminum indium gallium zinc oxide, and zinc indium oxide.

在一些實施例中,該非晶氧化物半導體通道層可摻雜有選自由以下組成之群組之至少一種摻雜物:鎵(Ga)、銦(In)、鋅(Zn)、錫(Sn)、鉿(Hf)、矽(Si)、鋁(Al)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鋇(Ba)、鈦(Ti)、鋯(Zr)、鉬(Mo)、鉭(Ta)、鈮(Nb)、鉻(Cr)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、銀(Ag)、金(Au)、鈰(Ce)、鑭(La)、釹(Nd)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、鐿(Yb)、鑥(Lu)、釔(Y)、鈧(Sc)、鉍(Bi)、鉛(Pb)、鉈(Tl)、銻(Sb)、砷(As)、磷(P)、硼(B)、氮(N)、氟(F)、氯(Cl)、溴(Br)及碘(I)。該通道層之摻雜可增強該電晶體之某些性質,諸如載子遷移率、臨限電壓、亞臨限擺幅、接通狀態電流及切斷狀態電流。In some embodiments, the amorphous oxide semiconductor channel layer may be doped with at least one dopant selected from the group consisting of gallium (Ga), indium (In), zinc (Zn), tin (Sn), niobium (Hf), silicon (Si), aluminum (Al), magnesium (Mg), calcium (Ca), strontium (Sr), barium (Ba), titanium (Ti), zirconium (Zr), molybdenum (Mo), tantalum (Ta), niobium (Nb), chromium (Cr), iron (Fe), cobalt (Co), nickel (Ni), and copper (Cu). , silver (Ag), gold (Au), cerium (Ce), lumber (La), neodymium (Nd), sulphurium (Sm), cerium (Eu), gadolinium (Gd), terbium (Tb), diopside (Dy), thallium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), lutetium (Lu), yttrium (Y), succinyl (Sc), bismuth (Bi), lead (Pb), tantalum (Tl), antimony (Sb), arsenic (As), phosphorus (P), boron (B), nitrogen (N), fluorine (F), chlorine (Cl), bromine (Br), and iodine (I). Doping of the channel layer can enhance certain properties of the transistor, such as carrier mobility, threshold voltage, subthreshold swing, on-state current, and off-state current.

在一些實施例中,該第一電晶體之該通道層由包含過渡金屬二硫屬化物之二維材料形成。該等過渡金屬二硫屬化物可呈單價或二價形式。在某些實施例中,該等過渡金屬二硫屬化物中之硫屬化物元素係硫、硒或碲。In some embodiments, the channel layer of the first transistor is formed from a two-dimensional material comprising a transition metal dichalcogenide. The transition metal dichalcogenides may be in a monovalent or divalent form. In certain embodiments, the chalcogenide element in the transition metal dichalcogenide is sulfur, selenium, or tellurium.

該等過渡金屬二硫屬化物(TMD)可寫作MX 2,其中M係指過渡金屬原子,諸如鉬(Mo)、鎢(W)、鉑(Pt)及鈀(Pd),同時X係硫族原子,諸如硫(S)、硒(Se)或碲(Te)。該等TMD呈現廣泛電性質,自半導體[二硫化鉬(MoS 2)、二硒化鉬(MoSe 2)、二硫化鎢(WS 2)及二硒化鎢(WSe 2)]至半金屬[二碲化鉬(MoTe 2)、二碲化鎢(WTe 2)及二硒化鈦(TiSe 2)]至金屬二硫化鈮(NbS 2)、二硫化鈦(TiS 2)、二硫化鎳(NiS 2)及二硒化釩(VSe 2)]及至超導[二硒化鈮(NbSe 2)及二硫化鉭(TaS 2)]範圍。 These transition metal dichalcogenides (TMDs) can be written as MX 2 , where M is a transition metal atom such as molybdenum (Mo), tungsten (W), platinum (Pt), and palladium (Pd), and X is a chalcogen atom such as sulfur (S), selenium (Se), or tellurium (Te). These TMDs exhibit a wide range of electronic properties, ranging from semiconductors [molybdenum disulfide (MoS 2 ), molybdenum diselenide (MoSe 2 ), tungsten disulfide (WS 2 ) and tungsten diselenide (WSe 2 )] to semimetals [molybdenum ditelluride (MoTe 2 ), tungsten ditelluride (WTe 2 ) and titanium diselenide (TiSe 2 )] to metallic niobium disulfide (NbS 2 ), titanium disulfide (TiS 2 ), nickel disulfide (NiS 2 ) and vanadium diselenide (VSe 2 )] and to superconductors [niobium diselenide (NbSe 2 ) and tungsten disulfide (TaS 2 )].

在一些實施例中,該積體電路之該通道層由包含過渡金屬二硫屬化物之二維材料形成。在此等實施例中,該等過渡金屬二硫屬化物可為單價的。In some embodiments, the channel layer of the integrated circuit is formed of a two-dimensional material comprising a transition metal dichalcogenide. In these embodiments, the transition metal dichalcogenides can be monovalent.

在一些實施例中,該積體電路實施例之該通道層可由二維過渡金屬二硫屬化物材料形成。明確而言,此等過渡金屬二硫屬化物可具有二價過渡金屬組合物。二價過渡金屬二硫屬化物用於該通道層實現有利於由該等積體電路組件形成之電晶體之操作之電性質。In some embodiments, the channel layer of the integrated circuit embodiments may be formed from a two-dimensional transition metal dichalcogenide material. Specifically, these transition metal dichalcogenides may have a divalent transition metal composition. The divalent transition metal dichalcogenide is used in the channel layer to achieve electrical properties that are beneficial for the operation of a transistor formed from the integrated circuit component.

在一些實施例中,形成該通道層之該等過渡金屬二硫屬化物可具有硫屬化物元素,即,硫。In some embodiments, the transition metal dichalcogenides forming the channel layer may have a chalcogenide element, ie, sulfur.

在一些實施例中,該積體電路可包括過渡金屬二硫屬化物,其中形成該通道層。該等過渡金屬二硫屬化物可為單價或二價的。視情況,該等過渡金屬二硫屬化物中之該硫屬化物元素可為硒。In some embodiments, the integrated circuit may include a transition metal dichalcogenide in which the channel layer is formed. The transition metal dichalcogenides may be monovalent or divalent. Optionally, the chalcogenide element in the transition metal dichalcogenides may be selenium.

在一些實施例中,該積體電路可涉及該通道層由包含過渡金屬二硫屬化物之二維材料形成。該等過渡金屬二硫屬化物可具有硫屬化物元素,諸如碲。In some embodiments, the integrated circuit may involve the channel layer being formed of a two-dimensional material including a transition metal dichalcogenide. The transition metal dichalcogenide may have a chalcogenide element, such as tellurium.

在一些實施例中,該電晶體之該通道層可由氧化銦鎢(IWO)形成。此材料可為該通道層提供所要性質,諸如電荷載子之高遷移率。使用IWO允許製造適合於各種積體電路應用之高效能電晶體。In some embodiments, the channel layer of the transistor can be formed from indium tungsten oxide (IWO). This material can provide desirable properties for the channel layer, such as high charge carrier mobility. Using IWO allows for the fabrication of high-performance transistors suitable for a variety of integrated circuit applications.

在一些實施例中,該電晶體之該通道層可由氧化銦鎵鋅(IGZO)形成。當沈積為一薄膜時,IGZO材料為該通道層提供所要性質(諸如高電子遷移率及穩定性)以允許該等電晶體在該積體電路內有效操作。In some embodiments, the channel layer of the transistor can be formed of indium gallium zinc oxide (IGZO). When deposited as a thin film, IGZO material provides the channel layer with desirable properties (such as high electron mobility and stability) to allow the transistors to operate efficiently within the integrated circuit.

在一些實施例中,該通道層可為一薄膜。In some embodiments, the channel layer can be a thin film.

實施例可涉及該鐵電層由具有鉿與鋯之一等莫耳比之氧化鉿鋯(Hf 0.5Zr 0.5O 2)組成。 An embodiment may involve the ferroelectric layer being composed of zirconia (Hf 0.5 Zr 0.5 O 2 ) having an equal molar ratio of zirconia to ferroelectricity.

在一些實施例中,包括鐵電FET之該積體電路可安置於一半導體裝置製造流程之一後段製程(BEOL)部分上。更明確而言,此將涉及在完成前段製程處理之後在電晶體級上方之較高層上製造含有FET之鐵電層及通道層之積體電路。將該積體電路安置於BEOL部分中實現與已製造於晶片上之下伏電晶體結構及金屬互連層整合。In some embodiments, the integrated circuit including the ferroelectric FET can be implemented in the back-end-of-the-line (BEOL) portion of the semiconductor device fabrication process. More specifically, this involves fabricating the integrated circuit, including the ferroelectric and channel layers of the FET, at a higher level above the transistor level after completing the front-end-of-the-line (FEOL) processing. Placing the integrated circuit in the BEOL allows integration with the underlying transistor structures and metal interconnect layers already fabricated on the chip.

在一些實施例中,該積體電路中之該鐵電層由過渡金屬氧化物、鈣鈦礦或二維材料製成。In some embodiments, the ferroelectric layer in the integrated circuit is made of transition metal oxide, calcium titanium, or a two-dimensional material.

在一些實施例中,該積體電路進一步包括安置於該通道層與該鐵電層之間的一金屬層。In some embodiments, the integrated circuit further includes a metal layer disposed between the channel layer and the ferroelectric layer.

在一些實施例中,該積體電路進一步包括安置於該金屬層與該通道層之間的一絕緣體層。明確而言,一金屬層可安置於該通道層與該鐵電層之間。另外,一絕緣體層安置於此金屬層與該下伏通道層之間。In some embodiments, the integrated circuit further includes an insulating layer disposed between the metal layer and the channel layer. Specifically, a metal layer may be disposed between the channel layer and the ferroelectric layer. Alternatively, an insulating layer may be disposed between the metal layer and the underlying channel layer.

在一些實施例中,該積體電路進一步包括安置於該鐵電層上之一金屬層,其中該鐵電層安置於該通道層上。In some embodiments, the integrated circuit further includes a metal layer disposed on the ferroelectric layer, wherein the ferroelectric layer is disposed on the channel layer.

在一些實施例中,該積體電路進一步包括安置於該鐵電層上之一金屬層,其中該鐵電層安置於該通道層上。另外,在一些實施例中,一絕緣層安置於該通道層上,且該鐵電層亦安置於該絕緣層上。In some embodiments, the integrated circuit further includes a metal layer disposed on the ferroelectric layer, wherein the ferroelectric layer is disposed on the channel layer. Additionally, in some embodiments, an insulating layer is disposed on the channel layer, and the ferroelectric layer is also disposed on the insulating layer.

實施例可進一步包括安置於該鐵電層上之一金屬層,其中該鐵電層安置於該通道層上。鐵電材料之頂部上之此額外金屬層可用於各種目的,諸如提供一改良電接觸或充當一障壁層。其整合於該鐵電及通道層之頂部上實現該鐵電場效電晶體之電效能及可靠性之最佳化。Embodiments may further include a metal layer disposed on the ferroelectric layer, wherein the ferroelectric layer is disposed on the channel layer. This additional metal layer on top of the ferroelectric material can be used for various purposes, such as providing an improved electrical contact or acting as a barrier layer. Its integration on top of the ferroelectric and channel layers optimizes the electrical performance and reliability of the ferroelectric field-effect transistor.

在一些實施例中,該積體電路之該通道層可具有小於30 nm之一厚度。將該通道層建構成如此薄允許使用該通道層形成之該等電晶體減小尺寸及可能改良效能。In some embodiments, the channel layer of the integrated circuit can have a thickness of less than 30 nm. Making the channel layer so thin allows the transistors formed using the channel layer to be reduced in size and potentially improve performance.

在一些實施例中,該通道層可具有在1 nm至30 nm之間的一厚度。將該通道層組態為厚度小於30 nm實現相鄰鐵電層在此超小尺寸內進行有效電荷調變。將尺寸維持在此範圍之低端(例如在1 nm至10 nm之間)允許該積體電路利用通道可控性改良及減少短通道效應。因此,相對較薄通道層尺寸促進低電壓操作及高效切換。In some embodiments, the channel layer can have a thickness between 1 nm and 30 nm. Configuring the channel layer to be less than 30 nm thick enables effective charge modulation of the adjacent ferroelectric layer within this ultra-small dimension. Maintaining dimensions at the lower end of this range (e.g., between 1 nm and 10 nm) allows the integrated circuit to take advantage of improved channel controllability and reduced short-channel effects. Consequently, relatively thin channel layer dimensions facilitate low-voltage operation and high-efficiency switching.

在一些實施例中,該電晶體之該通道層可使用物理氣相沈積或化學氣相沈積來形成。此等沈積技術可用於沈積該通道層所需之非常薄膜。依此方式形成之該通道層可厚度小於30 nm或厚度在1 nm至30 nm之間。In some embodiments, the channel layer of the transistor can be formed using physical vapor deposition or chemical vapor deposition. These deposition techniques can be used to deposit the very thin films required for the channel layer. The channel layer formed in this manner can be less than 30 nm thick or have a thickness between 1 nm and 30 nm.

在一些實施例中,該積體電路之該通道層使用原子層沈積來形成。此製程實現薄通道層之沈積期間之精確厚度控制及均勻覆蓋。藉由透過循序、自限制表面反應一次一個原子層地堆積該通道層,原子層沈積允許亞奈米厚度控制。In some embodiments, the channel layer of the integrated circuit is formed using atomic layer deposition (ALD). This process enables precise thickness control and uniform coverage during deposition of thin channel layers. By building up the channel layer one atomic layer at a time through sequential, self-limiting surface reactions, ALD allows for sub-nanometer thickness control.

實施例可涉及藉由添加包括鎢、鎵(Ga)及鋅(Zn)之至少一者之一摻雜物來形成該通道層。在一些實施例中,該通道層使用物理氣相沈積或化學氣相沈積來形成,且該摻雜物幫助實現該薄通道層之沈積。Embodiments may involve forming the channel layer by adding a dopant comprising at least one of tungsten, gallium (Ga), and zinc (Zn). In some embodiments, the channel layer is formed using physical vapor deposition or chemical vapor deposition, and the dopant facilitates deposition of the thin channel layer.

實施例可涉及使用原子層沈積來形成該鐵電層。Embodiments may involve forming the ferroelectric layer using atomic layer deposition.

在一些實施例中,該積體電路之鐵電層可使用氣相沈積來形成。In some embodiments, the ferroelectric layers of the integrated circuit may be formed using vapor deposition.

在一些實施例中,該積體電路中之該鐵電層藉由添加包括鑭、鈮、錳、鋯、錫、鍶、鈣、釔或鎂之至少一者之一摻雜物來形成。該摻雜鐵電層可使用原子層沈積或氣相沈積方法來形成。摻雜鐵電材料可潛在地增強諸如結晶溫度、剩餘極化及洩漏電流之特性。In some embodiments, the ferroelectric layer in the integrated circuit is formed by adding a dopant comprising at least one of lumber, niobium, manganese, zirconium, tin, strontium, calcium, yttrium, or magnesium. The doped ferroelectric layer can be formed using atomic layer deposition or vapor phase deposition methods. Doping ferroelectric materials can potentially enhance properties such as crystallization temperature, residual polarization, and leakage current.

在一些實施例中,該通道層可經組態以具有在自10^17/立方厘米至10^20/立方厘米之範圍內之一載子濃度。In some embodiments, the channel layer can be configured to have a carrier concentration in a range from 10^17/cm3 to 10^20/cm3.

在一些實施例中,該通道層包括二維材料。當該通道層之厚度小於30 nm時,該二維材料可維持至少0.1 cm 2/伏特•秒之一電子遷移率。在某些實施例中,該通道層包括該二維材料之少於5個單層。 In some embodiments, the channel layer comprises a two-dimensional material. When the thickness of the channel layer is less than 30 nm, the two-dimensional material can maintain an electron mobility of at least 0.1 cm 2 /volt·second. In certain embodiments, the channel layer comprises fewer than 5 monolayers of the two-dimensional material.

在一些實施例中,該通道層包括二維材料。當該通道層之厚度小於30 nm時,該二維材料可經組態以維持至少0.1 cm 2/伏特•秒之一電子遷移率。 In some embodiments, the channel layer comprises a two-dimensional material. When the thickness of the channel layer is less than 30 nm, the two-dimensional material can be configured to maintain an electron mobility of at least 0.1 cm 2 /volt·second.

在一些實施例中,該通道層可包括即使該通道層之厚度小於30 nm亦維持高電子遷移率之二維材料。視情況,該通道層可包括此二維材料之少於5個單層。僅使用幾個單層可幫助最小化厚度,同時保持該二維材料之有益性質。In some embodiments, the channel layer may comprise a two-dimensional material that maintains high electron mobility even when the channel layer thickness is less than 30 nm. Optionally, the channel layer may comprise fewer than five monolayers of the two-dimensional material. Using only a few monolayers can help minimize thickness while maintaining the beneficial properties of the two-dimensional material.

在一些實施例中,用於該積體電路中之該鐵電層係氧化鉿鋯。In some embodiments, the ferroelectric layer used in the integrated circuit is epsilon-zirconium oxide.

在一些實施例中,該積體電路具有一鐵電層,其具有在-3伏特至3伏特之間的一矯頑電壓。此規定可切換該層內之鐵電材料之電極化之電壓範圍。藉由調諧該鐵電層之組合物及厚度,其可展現此範圍內之一矯頑電壓,此實現在與電晶體操作相容之低電壓下切換。使該矯頑電壓保持相對較低可幫助降低併入該鐵電層之裝置之操作電壓及功耗。In some embodiments, the integrated circuit has a ferroelectric layer with a threshold voltage between -3 volts and 3 volts. This defines the voltage range over which the polarization of the ferroelectric material within the layer can be switched. By tuning the composition and thickness of the ferroelectric layer, it can exhibit a threshold voltage within this range, enabling switching at low voltages compatible with transistor operation. Keeping the threshold voltage relatively low can help reduce the operating voltage and power consumption of devices incorporating the ferroelectric layer.

在一些實施例中,該積體電路可具有一鐵電層,其具有小於10^-7安培/立方厘米之一切斷狀態電流。In some embodiments, the integrated circuit may have a ferroelectric layer having an off-state current of less than 10^-7 amperes per cubic centimeter.

在一些實施例中,該積體電路可涉及具有大於10^-7安培/立方厘米之一接通狀態電流之一鐵電層。In some embodiments, the integrated circuit may involve a ferroelectric layer having an on-state current greater than 10^-7 amperes per cubic centimeter.

在一些實施例中,該積體電路具有一鐵電層,其具有小於或等於攝氏500度之一結晶退火溫度。In some embodiments, the integrated circuit has a ferroelectric layer having a crystallization annealing temperature less than or equal to 500 degrees Celsius.

在一些實施例中,該積體電路可涉及具有大於10微庫侖/平方厘米之一剩餘極化之一鐵電層。In some embodiments, the integrated circuit may involve a ferroelectric layer having a remnant polarization greater than 10 microcoulombs/cm2.

在一些實施例中,該積體電路可涉及以小於攝氏450度之一溫度退火之一通道層。明確而言,形成該積體電路中之電晶體結構之部分之該通道層可經歷低於攝氏450度之一退火程序。維持該通道層之一足夠低退火溫度促進鐵電材料整合,同時在裝置製造期間保持該通道層本身之完整性。In some embodiments, the integrated circuit may involve annealing a channel layer at a temperature less than 450 degrees Celsius. Specifically, the channel layer forming part of the transistor structure in the integrated circuit may undergo an annealing process below 450 degrees Celsius. Maintaining a sufficiently low annealing temperature for the channel layer promotes integration of ferroelectric materials while preserving the integrity of the channel layer itself during device fabrication.

在一些實施例中,實施例包括具有小於100 cm 2/伏特•秒之通道遷移率之一通道層。明確而言,作為具有包含一通道層、一鐵電層、一源極端子、一汲極端子及一閘極端子之一電晶體之該積體電路之部分之該通道層具有經組態為小於100 cm^2/V-s之一通道遷移率。 In some embodiments, the embodiments include a channel layer having a channel mobility less than 100 cm² /volt-second. Specifically, the channel layer as part of the integrated circuit having a transistor including a channel layer, a ferroelectric layer, a source terminal, a drain terminal, and a gate terminal has a channel mobility configured to be less than 100 cm²/Vs.

在一些實施例中,該積體電路包括具有小於0.3伏特/10之一亞臨限擺幅之一通道層。該亞臨限擺幅係指使該電晶體中之電流減小10倍所需之閘極電壓變化,且一較低亞臨限擺幅實現較快切換速度及較低功耗。藉由在層之間使用新型材料及最佳化介面,該通道層可達成此超低亞臨限擺幅。In some embodiments, the integrated circuit includes a channel layer with a subthreshold swing of less than 0.3 volts per decade. Subthreshold swing refers to the change in gate voltage required to reduce the current in the transistor by a factor of 10, and a lower subthreshold swing enables faster switching speeds and lower power consumption. This ultra-low subthreshold swing is achieved in the channel layer by using novel materials and optimizing interfaces between layers.

在一些實施例中,該積體電路中之該通道層可經組態以具有小於10^-7安培/微米之一切斷狀態電流。In some embodiments, the channel layer in the integrated circuit can be configured to have an off-state current of less than 10^-7 amperes/micrometer.

在一些實施例中,實施例包含經組態以具有大於2.5電子伏特之一通道帶隙之一通道層。In some embodiments, embodiments include a channel layer configured to have a channel bandgap greater than 2.5 electron volts.

在一些實施例中,該通道層可經組態以具有在-1.5伏特至1.5伏特之間的一退火後臨限電壓。In some embodiments, the channel layer can be configured to have a post-annealing threshold voltage between -1.5 volts and 1.5 volts.

在一些實施例中,該積體電路可涉及具有小於200 nm之一寬度及50 nm之一長度之一第一電晶體。In some embodiments, the integrated circuit may involve a first transistor having a width less than 200 nm and a length of 50 nm.

該第一電晶體可具有小於特徵大小之平方之30倍之一裝置面積。The first transistor may have a device area that is less than 30 times the square of the characteristic size.

在一些實施例中,該積體電路可具有一第一電晶體,其具有大於-2.5 V之一低電壓臨限(LVT)位準。In some embodiments, the integrated circuit may have a first transistor having a low voltage threshold (LVT) level greater than -2.5V.

在一些實施例中,該積體電路可具有一第一電晶體,其具有大於-2 V之一高電壓臨限(HVT)位準。In some embodiments, the integrated circuit may have a first transistor having a high voltage threshold (HVT) level greater than -2 V.

在一些實施例中,併入該第一電晶體之一記憶體格具有在0 V至1 V之間的一讀取電壓。以此範圍內之一讀取電壓操作具有該第一電晶體之該記憶體格可促進讀取操作期間之低功耗。組態電晶體特性實現一低讀取電壓亦可有助於最小化該積體電路之總功率要求。In some embodiments, a memory cell incorporating the first transistor has a read voltage between 0 V and 1 V. Operating the memory cell with the first transistor at a read voltage within this range can promote low power consumption during read operations. Configuring transistor characteristics to achieve a low read voltage can also help minimize the overall power requirements of the integrated circuit.

在一些實施例中,併入該第一電晶體之一記憶體格可具有小於10皮焦耳之低讀取能耗。明確而言,含有先前所詳述之鐵電場效電晶體之一記憶體格可視情況經設計及組態以實現耗散小於10皮焦耳能量之讀取操作。極低讀取能量將允許製造非常適合於電池供電且能量受約束應用之低功率非揮發性記憶體。In some embodiments, a memory cell incorporating the first transistor can have a low read energy consumption of less than 10 picojoules. Specifically, a memory cell containing the ferroelectric field-effect transistors described in detail above can be designed and configured to achieve a read operation that dissipates less than 10 picojoules of energy. This extremely low read energy allows for the fabrication of low-power, non-volatile memories that are well-suited for battery-powered, energy-constrained applications.

在一些實施例中,併入該第一電晶體之一記憶體格可具有小於20奈秒之一讀取脈衝寬度。In some embodiments, a memory cell incorporating the first transistor may have a read pulse width of less than 20 nanoseconds.

在一些實施例中,具有該第一電晶體之一記憶體格可具有大於或等於10^9次循環之一讀取耐久性。此指示該記憶體格可可靠地經受至少10億次讀取操作而不失效以有助於高可靠性及長操作壽命。In some embodiments, a memory cell having the first transistor may have a read endurance greater than or equal to 10^9 cycles. This indicates that the memory cell can reliably withstand at least 1 billion read operations without failure, contributing to high reliability and long operating life.

在一些實施例中,併入該第一電晶體之一記憶體格可具有大於10^9次循環之一讀取干擾容限。此指示該記憶體格可經受至少10^9次讀取循環而不干擾或破壞儲存資料以實現可靠長期資料儲存。高讀取干擾容限係部分歸因於該第一電晶體中之該鐵電層、通道層及其他組件之性質及組態而達成。In some embodiments, a memory cell incorporating the first transistor can have a read disturbance tolerance greater than 10^9 cycles. This indicates that the memory cell can withstand at least 10^9 read cycles without disturbing or corrupting stored data, enabling reliable long-term data storage. This high read disturbance tolerance is achieved in part due to the properties and configuration of the ferroelectric layer, channel layer, and other components in the first transistor.

在一些實施例中,併入該第一電晶體之一記憶體格可具有小於或等於10微秒之一寫入後讀取延時。此指示完成對該記憶體格之一寫入操作與能夠可靠地讀回儲存資料之間的時間延遲非常短。實現此快速讀取存取時間允許構建高效能記憶體系統。In some embodiments, a memory cell incorporating the first transistor can have a read-after-write latency of less than or equal to 10 microseconds. This indicates that the time delay between completing a write operation to the memory cell and being able to reliably retrieve the stored data is very short. Achieving such fast read access times allows for the construction of high-performance memory systems.

在一些實施例中,併入本文中所描述之該第一電晶體之一記憶體格具有小於或等於3.0伏特(V)之一寫入電壓。In some embodiments, a memory cell incorporating the first transistor described herein has a write voltage less than or equal to 3.0 volts (V).

在一些實施例中,併入該第一電晶體之一記憶體格可具有小於或等於10微秒之一寫入速度。In some embodiments, a memory cell incorporating the first transistor may have a write speed less than or equal to 10 microseconds.

在一些實施例中,具有本文中所描述之該第一電晶體之一記憶體格具有小於10皮焦耳之一寫入能量。In some embodiments, a memory cell having the first transistor described herein has a write energy of less than 10 picojoules.

在一些實施例中,併入該第一電晶體之一記憶體格可具有大於10^8次循環之一寫入耐久性。此指示該記憶體格可經受至少1億次寫入循環而不失效以在一延長壽命內實現可靠資料儲存及擷取。藉由利用下伏鐵電場效電晶體之效能特性,各記憶體格可提供比習知替代方案改良之耐久性及壽命。高寫入耐久性進一步轉化為增強資料完整性及對錯誤校正或冗餘之減少需要。In some embodiments, a memory cell incorporating the first transistor can have a write endurance greater than 10^8 cycles. This indicates that the memory cell can withstand at least 100 million write cycles without failure, enabling reliable data storage and retrieval over an extended lifespan. By leveraging the performance characteristics of the underlying ferroelectric field-effect transistor, each memory cell can provide improved endurance and lifespan compared to known alternatives. High write endurance further translates into enhanced data integrity and a reduced need for error correction or redundancy.

在一些實施例中,具有本文中所描述之該第一電晶體之一記憶體格具有約10^3或大於10^2之一切斷狀態電阻與接通狀態電阻比(Roff/Ron)。In some embodiments, a memory cell having the first transistor described herein has an off-state resistance to on-state resistance ratio (Roff/Ron) of approximately 10^3 or greater than 10^2.

在一些實施例中,併入該第一電晶體之一記憶體格可在來自DC量測之Vread處具有大於100之一接通狀態電流與切斷狀態電流比(Ion/Off)。明確而言,具有鐵電場效電晶體之該記憶體格可在讀取操作期間達成一高接通狀態與切斷狀態電流比以指示儲存於該格中之邏輯0與邏輯1狀態之間的良好區別。高Ion/Ioff比有助於低電壓處之可靠讀取操作。In some embodiments, a memory cell incorporating the first transistor can have an on-state current to off-state current ratio (Ion/Off) greater than 100 at Vread from DC measurements. Specifically, the memory cell with ferroelectric field-effect transistors can achieve a high on-state to off-state current ratio during a read operation to indicate good discrimination between logical 0 and logical 1 states stored in the cell. A high Ion/Ioff ratio facilitates reliable read operations at low voltages.

在一些實施例中,該記憶體格可包含本文中所描述之第一電晶體及具有與該第一電晶體相同之組態之一第二電晶體。該第一電晶體及該第二電晶體可形成用於將二進位值儲存於該記憶體格中之一位元狀態。In some embodiments, the memory cell may include a first transistor as described herein and a second transistor having the same configuration as the first transistor. The first transistor and the second transistor may form a bit state for storing a binary value in the memory cell.

在一些實施例中,該積體電路可涉及具有該第一電晶體之一記憶體格,其中該第一電晶體經組態以具有3種或更多種狀態。此等狀態之各者對應於該記憶體格之一儲存值。此允許一單一記憶體格內之多級資料儲存。In some embodiments, the integrated circuit may include a memory cell having the first transistor, wherein the first transistor is configured to have three or more states. Each of these states corresponds to a stored value in the memory cell. This allows for multi-level data storage within a single memory cell.

在一些實施例中,該積體電路可涉及在來自脈衝量測之Vread處具有大於10^2之一接通狀態電流與切斷狀態電流比(Ion/Ioff)之一第一電晶體。In some embodiments, the integrated circuit may involve a first transistor having an on-state current to off-state current ratio (Ion/Ioff) greater than 10^2 at Vread from pulse measurement.

在一些實施例中,該積體電路包括一第一電晶體,如本文中所描述。視情況,該第一電晶體可包含小於10至-14安培/微米之一切斷狀態洩漏電流(Ioff)以在該電晶體在切斷狀態中不傳導時提供非常低洩漏。藉由使一切斷狀態洩漏電流低於此臨限值,該電晶體展現通過通道之非常小洩漏且有效維持切斷狀態以允許低靜態功耗。In some embodiments, the integrated circuit includes a first transistor, as described herein. Optionally, the first transistor may have an off-state leakage current (Ioff) of less than 10 to -14 amps/micron to provide very low leakage when the transistor is not conducting in the off state. By keeping the off-state leakage current below this threshold, the transistor exhibits very little leakage through the channel and effectively maintains the off state, allowing for low static power consumption.

在一些實施例中,具有該第一電晶體之一記憶體格可具有大於或等於10^11次循環之一可靠性耐久性。此高可靠性耐久性允許該記憶體格在其壽命內經受極大數目次讀取/寫入循環而不失效。穩健耐久性實現需要頻繁資料存取及極少停機時間用於維修或更換之應用。In some embodiments, a memory cell having the first transistor can have a reliability endurance greater than or equal to 10^11 cycles. This high reliability endurance allows the memory cell to withstand a very large number of read/write cycles over its lifetime without failure. This robust endurance enables applications that require frequent data access and minimal downtime for repair or replacement.

在一些實施例中,當在25°C之一室溫量測時,具有本文中所描述之該第一電晶體之一記憶體格具有至少1分鐘之一保持時間。In some embodiments, a memory cell having the first transistor described herein has a retention time of at least 1 minute when measured at a room temperature of 25°C.

在一些實施例中,該第一電晶體之該通道層可涉及併入經組態以增強通過該電晶體之接通狀態電流(ION)之另一二維材料。該額外二維材料提供提高導電率以在該電晶體接通時允許更高ION。In some embodiments, the channel layer of the first transistor may involve the incorporation of another two-dimensional material configured to enhance the on-state current (ION) through the transistor. The additional two-dimensional material provides increased conductivity to allow for a higher ION when the transistor is on.

在一些實施例中,該積體電路中之該通道層經組態以維持高遷移率,儘管存在該鐵電層。明確而言,該通道層及鐵電層經設計使得該鐵電層不顯著阻礙該通道層內之電子遷移率。此允許該積體電路電晶體以高通道遷移率操作以提高效能,同時仍利用該鐵電層之益處。In some embodiments, the channel layer in the integrated circuit is configured to maintain high mobility despite the presence of the ferroelectric layer. Specifically, the channel layer and ferroelectric layer are designed so that the ferroelectric layer does not significantly impede electron mobility within the channel layer. This allows the integrated circuit transistor to operate at high channel mobility for improved performance while still taking advantage of the benefits of the ferroelectric layer.

在一些實施例中,該積體電路可經組態使得該鐵電層不顯著阻礙該通道層內之電子遷移率。該鐵電層及該通道層可經設計以維持高遷移率,儘管該通道層之頂部上存在該鐵電層。例如,該鐵電層與該通道層之間的介面可經最佳化以最小化流動通過通道之電子之散射。In some embodiments, the integrated circuit can be configured so that the ferroelectric layer does not significantly hinder the electron mobility within the channel layer. The ferroelectric layer and the channel layer can be designed to maintain high mobility despite the presence of the ferroelectric layer on top of the channel layer. For example, the interface between the ferroelectric layer and the channel layer can be optimized to minimize scattering of electrons flowing through the channel.

在一些實施例中,該通道層經組態以與該鐵電層直接接觸且其等之間沒有介面層。該通道層與該鐵電層之間的直接接觸可促進橫跨介面之電壓降最小化,藉此實現該電晶體之低電壓操作。再者,該通道層與鐵電層之間不存在一介面層可允許低電壓操作及降低功耗。In some embodiments, the channel layer is configured to directly contact the ferroelectric layer without an interface layer therebetween. Direct contact between the channel layer and the ferroelectric layer can minimize the voltage drop across the interface, thereby enabling low-voltage operation of the transistor. Furthermore, the absence of an interface layer between the channel layer and the ferroelectric layer can enable low-voltage operation and reduce power consumption.

在一些實施例中,該積體電路可包括具有與該鐵電層直接接觸之一通道層且其等之間沒有介面層之一第一電晶體。此直接接觸組態可幫助最小化橫跨該通道層與該鐵電層之間的介面之電壓降。藉由減小此寄生電壓降,該第一電晶體可潛在地以較低電壓操作,藉此實現低電壓操作及降低功耗。不存在一介面層亦可有助於更快切換時間及改良暫態特性。In some embodiments, the integrated circuit may include a first transistor having a channel layer in direct contact with the ferroelectric layer without an interface layer therebetween. This direct contact configuration can help minimize the voltage drop across the interface between the channel layer and the ferroelectric layer. By reducing this parasitic voltage drop, the first transistor can potentially operate at a lower voltage, thereby achieving low-voltage operation and reducing power consumption. The absence of an interface layer can also contribute to faster switching times and improved transient characteristics.

該積體電路可涉及以低電壓操作及低功耗為特徵之一第一電晶體。在一些實施例中,此係歸因於該通道層與該鐵電層之間不存在一介面層。The integrated circuit may include a first transistor characterized by low voltage operation and low power consumption. In some embodiments, this is due to the absence of an interface layer between the channel layer and the ferroelectric layer.

在一些實施例中,該積體電路可涉及以低電壓操作及低功耗為特徵之一第一電晶體。此可歸因於該第一電晶體之鐵電層與閘極層之間不存在一介面層。降低電壓操作可有助於降低該積體電路之總功耗。In some embodiments, the integrated circuit may include a first transistor characterized by low-voltage operation and low power consumption. This may be due to the absence of an interface layer between the ferroelectric layer and the gate layer of the first transistor. Reduced voltage operation may help reduce the overall power consumption of the integrated circuit.

在一些實施例中,該積體電路以低電壓操作及低功耗為特徵,其歸因於該通道層與該鐵電層之間或該鐵電層與該閘極層之間不存在一介面層。此降低電壓操作可有助於降低該積體電路之總功耗。In some embodiments, the integrated circuit features low-voltage operation and low power consumption due to the absence of an interface layer between the channel layer and the ferroelectric layer or between the ferroelectric layer and the gate layer. This reduced-voltage operation can help reduce the overall power consumption of the integrated circuit.

在一些實施例中,該積體電路可涉及該第一電晶體以改良切換特性(諸如更快接通及切斷時間)為特徵。此可歸因於該鐵電層與該通道層之間或該鐵電層與該閘極層之間不存在一介面層。缺少一介面層可有助於減小此等介面處之寄生電容以在該電晶體之寫入及擦除操作期間實現該鐵電層之更快充電及放電。In some embodiments, the integrated circuit may include the first transistor and feature improved switching characteristics, such as faster turn-on and turn-off times. This may be due to the absence of an interface layer between the ferroelectric layer and the channel layer, or between the ferroelectric layer and the gate layer. The absence of an interface layer may help reduce parasitic capacitance at these interfaces, thereby enabling faster charging and discharging of the ferroelectric layer during write and erase operations of the transistor.

在一些實施例中,該積體電路可以該通道層與該鐵電層之間的介面處之減小寄生電容為特徵,其歸因於該通道層與該鐵電層之間不存在一介面層。該通道層與該鐵電層之間的直接接觸可最小化橫跨介面之電壓降以實現電晶體之低電壓操作。減小寄生電容亦可有助於改良電晶體之切換特性,包含更快接通及切斷時間。In some embodiments, the integrated circuit can feature reduced parasitic capacitance at the interface between the channel layer and the ferroelectric layer due to the absence of an interface layer between the channel layer and the ferroelectric layer. The direct contact between the channel layer and the ferroelectric layer minimizes the voltage drop across the interface, enabling low-voltage operation of the transistor. Reducing parasitic capacitance can also help improve the transistor's switching characteristics, including faster turn-on and turn-off times.

在一些實施例中,該鐵電層可經組態用於橫跨該鐵電層之一實質上均勻電場分佈。In some embodiments, the ferroelectric layer can be configured for a substantially uniform electric field distribution across the ferroelectric layer.

在一些實施例中,該積體電路可涉及該鐵電層經組態用於橫跨該鐵電層之電場分佈之一梯度。In some embodiments, the integrated circuit may involve the ferroelectric layer being configured for a gradient in electric field distribution across the ferroelectric layer.

在一些實施例中,該積體電路進一步包括由包含本文中所描述之第一電晶體之複數個電晶體形成之一微儲存庫。該微儲存庫可組織成包括3端子位元格之行。視情況,該微儲存庫經由一3D-NOR、一3D-AND、一3D-NAND或一3D-NAND-PG組態之一者中之一行形成。In some embodiments, the integrated circuit further includes a micro-storage bank formed from a plurality of transistors including the first transistor described herein. The micro-storage bank can be organized into rows comprising a 3-terminal bit grid. Optionally, the micro-storage bank is formed using a row in one of a 3D-NOR, a 3D-AND, a 3D-NAND, or a 3D-NAND-PG configuration.

在一些實施例中,該微儲存庫包括3端子位元格之行。明確而言,構成各微儲存庫之該複數個電晶體可配置成垂直行,其中各行用作具有三個端子(對應於該行中之電晶體之源極、汲極及閘極端子)之一位元格。呈柱狀形式之此3端子位元格組態促進微儲存庫記憶體結構之緊湊整合及佈線。In some embodiments, the microbank includes rows of three-terminal bit cells. Specifically, the plurality of transistors comprising each microbank can be arranged in vertical rows, with each row serving as a bit cell having three terminals (corresponding to the source, drain, and gate terminals of the transistors in that row). This three-terminal bit cell configuration in a pillar format facilitates compact integration and routing of microbank memory structures.

在一些實施例中,該積體電路可包括由複數個電晶體形成之一微儲存庫。該微儲存庫可組織成包括若干組態(包含一3D-NOR、一3D-AND、一3D-NAND或具有一額外傳遞閘極之一3D-NAND (3D-NAND-PG))之一者之3端子位元格之行。In some embodiments, the integrated circuit may include a micro-storage bank formed from a plurality of transistors. The micro-storage bank may be organized into rows of 3-terminal bit cells comprising one of several configurations, including a 3D-NOR, a 3D-AND, a 3D-NAND, or a 3D-NAND with an additional pass gate (3D-NAND-PG).

在一些實施例中,該積體電路可包括一通道材料,其包含氧化銦鎵鋅(IGZO)。由IGZO形成之該通道材料可併入至本文中所描述之該等電晶體中。In some embodiments, the integrated circuit may include a channel material comprising indium gallium zinc oxide (IGZO). The channel material formed of IGZO may be incorporated into the transistors described herein.

實施例可包括固定至該通道層之一源極端子。在一些實施例中,該源極端子由鎢、氮化鈦、鎳及鉬之至少一者形成。Embodiments may include a source terminal affixed to the channel layer. In some embodiments, the source terminal is formed from at least one of tungsten, titanium nitride, nickel, and molybdenum.

在一些實施例中,該第一電晶體之汲極端子包括鎢、氮化鈦、鎳及鉬之至少一者。此等材料可用於形成汲極接點以實現製程流程內之有效載子運輸及整合。In some embodiments, the drain terminal of the first transistor includes at least one of tungsten, titanium nitride, nickel, and molybdenum. These materials can be used to form a drain contact to enable efficient carrier transport and integration within the process flow.

在一些實施例中,該第一電晶體之閘極端子包括鎢、氮化鈦、鎳及鉬之至少一者。此等材料因其導電性質及整合相容性而用於半導體製程中。一適當閘極端子材料之選擇可影響電晶體效能及可靠性。In some embodiments, the gate terminal of the first transistor includes at least one of tungsten, titanium nitride, nickel, and molybdenum. These materials are used in semiconductor manufacturing processes due to their conductivity and integration compatibility. The selection of an appropriate gate terminal material can affect transistor performance and reliability.

在一些實施例中,該積體電路進一步包括由複數個該等第一電晶體形成之一記憶體。該記憶體可形成為選自NAND及NOR組態之一3D垂直裝置架構。In some embodiments, the integrated circuit further includes a memory formed by a plurality of the first transistors. The memory may be formed as a 3D vertical device architecture selected from NAND and NOR configurations.

在一些實施例中,該積體電路包括一微儲存庫行,其包含複數個電晶體。該微儲存庫行中之該複數個電晶體之各者可經組態為相同於先前所描述之該第一電晶體。包括該複數個相同電晶體之該微儲存庫行可組織成一3D垂直架構,諸如一3D-NOR、一3D-AND、一3D-NAND或具有傳遞閘極之一3D-NAND。In some embodiments, the integrated circuit includes a micro-bank array comprising a plurality of transistors. Each of the plurality of transistors in the micro-bank array can be configured similarly to the first transistor described above. The micro-bank array comprising the plurality of identical transistors can be organized into a 3D vertical architecture, such as a 3D-NOR, a 3D-AND, a 3D-NAND, or a 3D-NAND with a pass gate.

在一些實施例中,該積體電路可包括一微儲存庫行,其包含複數個電晶體,該等電晶體之各者相同於該第一電晶體組態。該微儲存庫行可依一3D-NOR組態組織。In some embodiments, the integrated circuit may include a micro-storage bank row comprising a plurality of transistors, each of which is identical to the first transistor configuration. The micro-storage bank row may be organized in a 3D-NOR configuration.

在一些實施例中,該積體電路包括一微儲存庫行,其包含複數個電晶體,該等電晶體之各者根據該第一電晶體組態。該微儲存庫行可組態為一3D-AND結構。In some embodiments, the integrated circuit includes a micro-bank comprising a plurality of transistors, each of which is configured according to the first transistor. The micro-bank can be configured as a 3D-AND structure.

在一些實施例中,該積體電路可包括一微儲存庫行,其包含各類似於該第一電晶體組態之複數個電晶體。該微儲存庫行可依一3D NAND組態配置。In some embodiments, the integrated circuit may include a micro-bank row including a plurality of transistors each having a configuration similar to the first transistor. The micro-bank row may be arranged in a 3D NAND configuration.

在一些實施例中,該積體電路可包括一微儲存庫行,其包含複數個電晶體,其中該複數個電晶體之各者根據先前所描述之第一電晶體設計組態。該微儲存庫行可依一3D-NAND架構組織,其中一傳遞閘極耦合至所有該複數個電晶體。In some embodiments, the integrated circuit may include a micro-bank row comprising a plurality of transistors, wherein each of the plurality of transistors is configured according to the first transistor design described previously. The micro-bank row may be organized according to a 3D-NAND architecture, wherein a transfer gate is coupled to all of the plurality of transistors.

在一些實施例中,該積體電路可涉及包括複數個電晶體之一微儲存庫行,其中各電晶體包含耦合至一位元線之一源極、耦合至一讀取啟用線之一汲極及耦合至一寫入啟用線之一閘極。此微儲存庫行組態能夠透過單獨讀取及寫入啟用線來獨立控制讀取及寫入操作。In some embodiments, the integrated circuit may include a micro-bank row comprising a plurality of transistors, each of which includes a source coupled to a bit line, a drain coupled to a read enable line, and a gate coupled to a write enable line. This micro-bank row configuration enables independent control of read and write operations via separate read and write enable lines.

在一些實施例中,該積體電路可包括一微儲存庫行,其包括各類似於該第一電晶體形成之複數個電晶體。該微儲存庫行可組態為一3D-AND架構,其中一獨立讀取/寫入啟用線耦合至各電晶體。明確而言,該3D-AND微儲存庫中之各電晶體可具有耦合至一位元線之一源極端子、耦合至一選擇線之一汲極端子及耦合至獨立於其他電晶體之一讀取/寫入啟用線之一閘極端子。In some embodiments, the integrated circuit may include a micro-bank row comprising a plurality of transistors each formed similarly to the first transistor. The micro-bank row may be configured in a 3D-AND architecture, wherein an independent read/write enable line is coupled to each transistor. Specifically, each transistor in the 3D-AND micro-bank may have a source terminal coupled to a bit line, a drain terminal coupled to a select line, and a gate terminal coupled to a read/write enable line independent of the other transistors.

在一些實施例中,用於該積體電路中之該鐵電層由各種鐵電材料形成,包含(但不限於)鈣鈦礦、鋯鈦酸鉛(PZT)、鈦酸鋇(BaTiO 3)、鈦酸鍶(SrTiO 3)、鐵酸鉍(BiFeO 3)、鈮酸鉀(KNbO 3)、鈮酸鋰(LiNbO 3)、鉭酸鋰(LiTaO 3)、鈦酸鈉鉍(Na 0.5Bi 0.5TiO 3)、鈦酸鉍(Bi 4Ti 3O 12)、鈮酸鉍鋅(Bi(Zn 1/2Ti 1/2)O 3)、鈦酸鉍鑭(BiLaTiO 3)、鈦酸鉍鎳(BiNiTiO 3)、鈮酸鉛鎂-鈦酸鉛(PMN-PT)、鋯鈦酸鉛鑭(PLZT)、摻釹鈦酸鉍(Bi 4-xNd xTi 3O 12)、如氧化鉿(HfO 2)之鉿基氧化物及諸如摻鋯氧化鉿(HfZrO 2)之摻雜氧化鉿、包含鈮酸鋇鍶(BSN)、鈮酸鉛鋇(PBN)及鉭鈮酸鉀(KTN)之鎢青銅結構材料、如鈦酸鉍(Bi 4Ti 3O 12)、鉭酸鍶鉍(SBT)及鈮酸鈣鉍(CBN)之鉍層結構化鐵電體、諸如聚偏二氟乙烯(PVDF)、TrFE (三氟乙烯)及P(VDF-TrFE)共聚物之有機鐵電體、奧里維里斯(aurivillius)相氧化物、如YMnO 3及經鑭改質之鋯鈦酸鉛(PLZT)之稀土水錳礦、氧化鎳錳(NiMnO 3)、包含鈮酸鉛鎂(PMN)、鉭酸鉛鈧(PST)、鈮酸鉛銦(PIN)之弛豫鐵電體、如亞錳酸鋱(TbMnO 3)及氧化銪鈦(EuTiO 3)之多鐵性材料、SbSI (碘化銻硫)、GeTe (碲化鍺)、SnTe (碲化錫)、諸如PZT薄膜、SBT薄膜及HfO 2基薄膜之薄膜鐵電體、層狀超晶格及PbTiO 3/SrTiO 3。鐵電材料之廣泛範圍為設計該積體電路提供取決於預期應用及所要裝置特性之靈活性。 In some embodiments, the ferroelectric layer used in the integrated circuit is formed of various ferroelectric materials, including but not limited to calcium titanium, lead zirconium titanate (PZT), barium titanate (BaTiO 3 ), strontium titanate (SrTiO 3 ), bismuth ferrite (BiFeO 3 ), potassium niobate (KNbO 3 ), lithium niobate (LiNbO 3 ), lithium niobate (LiTaO 3 ), sodium bismuth titanate (Na 0.5 Bi 0.5 TiO 3 ), bismuth titanate (Bi 4 Ti 3 O 12 ), bismuth zinc niobate (Bi(Zn 1/2 Ti 1/2 )O 3 ), bismuth titanium oxide (BiLaTiO 3 ), bismuth nickel titanium oxide (BiNiTiO 3 ), lead magnesium nibrate-lead titanium oxide (PMN-PT), lead nibrate titanium oxide (PLZT), bismuth titanium oxide doped with neodymium (Bi 4-x Nd x Ti 3 O 12 ), nibrous oxides such as nibrous oxide (HfO 2 ) and doped nibrous oxides such as nibrous oxide (HfZrO 2 ), tungsten-copper structural materials including barium strontium nibrate (BSN), lead barium nibrate (PBN) and potassium nibrate (KTN), bismuth titanium oxide (Bi 4 Ti 3 O 12 ), bismuth-layered ferroelectrics such as strontium bismuth tantalum (SBT) and calcium bismuth niobate (CBN), organic ferroelectrics such as polyvinylidene fluoride (PVDF), TrFE (trifluoroethylene) and P(VDF-TrFE) copolymers, aurivilius phase oxides, rare earth manganites such as YMnO 3 and lead zirconium titanate (PLZT) modified with titanium, nickel manganese oxide (NiMnO 3 ), relaxor ferroelectrics including lead magnesium niobate (PMN), lead niobium titanate (PST), lead indium niobate (PIN), such as zirconium manganite (TbMnO 3 ) and eutectic titanium oxide (EuTiO 3 ), SbSI (antimony iodide), GeTe (germanium telluride), SnTe (tin telluride), thin film ferroelectrics such as PZT thin films, SBT thin films, and HfO2 -based thin films, layered superlattices, and PbTiO3 / SrTiO3 . The wide range of ferroelectric materials provides flexibility in designing integrated circuits, depending on the intended application and desired device characteristics.

在一些實施例中,該積體電路包括一第一垂直結構,其具有一介電柱、圍繞該介電柱安置之一通道柱及沿該通道柱之長度圍繞該通道柱安置之一鐵電柱。該積體電路進一步包括各安置成彼此相距一預定距離之複數個水平閘極電極層。該等水平閘極電極層之各者沿該鐵電柱之長度相鄰於該鐵電柱安置。In some embodiments, the integrated circuit includes a first vertical structure having a dielectric pillar, a channel pillar disposed around the dielectric pillar, and a ferroelectric pillar disposed around the channel pillar along the length of the channel pillar. The integrated circuit further includes a plurality of horizontal gate electrode layers disposed a predetermined distance apart from each other. Each of the horizontal gate electrode layers is disposed adjacent to the ferroelectric pillar along the length of the ferroelectric pillar.

該積體電路實施例可具有實質上呈圓柱形形狀之一介電柱。明確而言,圍繞其安置該通道柱之該介電柱可形成為沿其垂直長度具有一圓形或橢圓形橫截面。使該介電柱呈圓柱形可促進周圍通道材料保形沈積。The integrated circuit embodiment may have a dielectric pillar that is substantially cylindrical in shape. Specifically, the dielectric pillar around which the via pillar is disposed may be formed to have a circular or elliptical cross-section along its vertical length. Making the dielectric pillar cylindrical may facilitate conformal deposition of the surrounding via material.

在一些實施例中,該第一垂直結構中之該介電柱實質上呈圓柱形。該介電柱可在一第一端上具有一第一直徑且在第二端上具有一第二直徑。視情況,該第一及第二直徑可相同或該第一直徑可大於該第二直徑。In some embodiments, the dielectric pillar in the first vertical structure is substantially cylindrical. The dielectric pillar may have a first diameter at a first end and a second diameter at a second end. Optionally, the first and second diameters may be the same, or the first diameter may be larger than the second diameter.

在一些實施例中,該第一垂直結構之該介電柱實質上呈圓柱形,其在一第一端上具有一第一直徑且在一第二對置端上具有一第二直徑。視情況,該介電柱之該第一及第二直徑可經組態為相同。In some embodiments, the dielectric pillar of the first vertical structure is substantially cylindrical, having a first diameter at a first end and a second diameter at a second, opposite end. Optionally, the first and second diameters of the dielectric pillar can be configured to be the same.

在一些實施例中,該第一垂直結構中之該介電柱在一第一端上具有一第一直徑且在第二端上具有一第二直徑,其中該第一直徑大於該第二直徑。In some embodiments, the dielectric pillar in the first vertical structure has a first diameter at a first end and a second diameter at a second end, wherein the first diameter is larger than the second diameter.

在一些實施例中,該積體電路包括具有一介電柱之一第一垂直結構。該介電柱可經組態為一實心柱而非一空心柱。使該介電柱形成為一實心結構可為整個垂直堆疊提供機械穩定性及穩健性。In some embodiments, the integrated circuit includes a first vertical structure having a dielectric pillar. The dielectric pillar can be configured as a solid pillar rather than a hollow pillar. Forming the dielectric pillar as a solid structure can provide mechanical stability and robustness to the entire vertical stack.

在一些實施例中,該積體電路可涉及一空心介電柱。明確而言,圍繞該第一垂直結構中之該通道柱安置之該介電柱可視情況組態為一空心柱而非一實心柱。該介電柱之此空心組態可促進某些製程或使額外組件能夠整合於該柱內。然而,在其他實施例中,該介電柱可代以實施為一實心柱。In some embodiments, the integrated circuit may involve a hollow dielectric pillar. Specifically, the dielectric pillar disposed around the channel pillar in the first vertical structure may be configured as a hollow pillar rather than a solid pillar, depending on the situation. This hollow configuration of the dielectric pillar may facilitate certain manufacturing processes or enable the integration of additional components within the pillar. However, in other embodiments, the dielectric pillar may instead be implemented as a solid pillar.

在一些實施例中,圍繞該第一垂直結構中之該介電柱形成之該通道柱可為實質上圓柱形形狀。例如,該通道柱沿其垂直長度可具有一圓形或橢圓形橫截面。一圓柱形通道柱組態可提供與電流、電容或製造容易度相關之某些優點。In some embodiments, the channel pillar formed around the dielectric pillar in the first vertical structure can be substantially cylindrical in shape. For example, the channel pillar can have a circular or elliptical cross-section along its vertical length. A cylindrical channel pillar configuration can offer certain advantages related to current, capacitance, or ease of manufacturing.

在一些實施例中,圍繞該介電柱形成之該通道柱實質上呈圓柱形,如發明內容章節中所描述。視情況,該通道柱在一第一端上具有一第一直徑且在第二端上具有一第二直徑。在某些實施例中,該第一及第二直徑可相同。在其他實施例中,該第一直徑可大於該第二直徑。In some embodiments, the channel pillar formed around the dielectric pillar is substantially cylindrical, as described in the invention section. Optionally, the channel pillar has a first diameter at a first end and a second diameter at a second end. In some embodiments, the first and second diameters may be the same. In other embodiments, the first diameter may be larger than the second diameter.

在一些實施例中,該第一垂直結構之該通道柱具有自一第一端至一第二端具有一均勻直徑之一實質上圓柱形形狀。In some embodiments, the channel post of the first vertical structure has a substantially cylindrical shape with a uniform diameter from a first end to a second end.

在一些實施例中,該積體電路包括具有一介電柱之一第一垂直結構,該介電柱在一第一端上具有一第一直徑且在第二端上具有一第二直徑,其中該第一直徑大於該第二直徑。In some embodiments, the integrated circuit includes a first vertical structure having a dielectric pillar having a first diameter at a first end and a second diameter at a second end, wherein the first diameter is larger than the second diameter.

該鐵電柱可實質上呈圓柱形。在一些實施例中,該積體電路進一步包括具有圍繞一通道柱安置之一鐵電柱之一第一垂直結構。視情況,此鐵電柱可形成為一實質上圓柱形形狀。The ferroelectric post may be substantially cylindrical. In some embodiments, the integrated circuit further includes a first vertical structure having a ferroelectric post disposed around a channel post. Optionally, the ferroelectric post may be formed in a substantially cylindrical shape.

在一些實施例中,該積體電路可具有實質上呈圓柱形之一鐵電柱,如針對該第一垂直結構所描述。此鐵電柱可在一第一端上具有一第一直徑且在第二對置端上具有一第二直徑。在一些情況中,該第一及第二直徑可相同或該第一直徑可大於該第二直徑。In some embodiments, the integrated circuit may include a substantially cylindrical ferroelectric post, as described with respect to the first vertical structure. The ferroelectric post may have a first diameter at a first end and a second diameter at a second, opposite end. In some cases, the first and second diameters may be the same, or the first diameter may be larger than the second diameter.

在一些實施例中,該積體電路具有實質上呈圓柱形之一鐵電柱,其在一第一端上具有一第一直徑且在一第二端上具有一第二直徑,其中該第一及第二直徑可經組態為相同。In some embodiments, the integrated circuit has a substantially cylindrical ferroelectric post having a first diameter at a first end and a second diameter at a second end, wherein the first and second diameters can be configured to be the same.

在一些實施例中,該積體電路可涉及實質上呈圓柱形之一鐵電柱。該鐵電柱可在一第一端上具有一第一直徑且在第二端上具有一第二直徑,其中該第一直徑大於該第二直徑。In some embodiments, the integrated circuit may include a substantially cylindrical ferroelectric post having a first diameter at a first end and a second diameter at a second end, wherein the first diameter is larger than the second diameter.

在一些實施例中,該積體電路進一步包括圍繞該通道柱之一端安置之一介電端柱。此介電端柱相鄰於該通道柱之長度之一端且亦相鄰於該鐵電柱定位。In some embodiments, the integrated circuit further includes a dielectric terminal post disposed around one end of the channel post. The dielectric terminal post is adjacent to one end of the length of the channel post and is also positioned adjacent to the ferroelectric post.

在一些實施例中,該積體電路進一步包括與該複數個水平閘極電極層平行且相鄰於該介電端柱安置之一汲極選擇層。此汲極選擇層相鄰於環繞該通道柱之一端之介電端柱配置。藉由將該汲極選擇層定位成平行於該等水平閘極電極層且緊鄰該介電端柱,可實現對垂直結構之汲極側之存取。In some embodiments, the integrated circuit further includes a drain select layer positioned parallel to the plurality of horizontal gate electrode layers and adjacent to the dielectric terminal post. The drain select layer is adjacent to the dielectric terminal post disposed around one end of the channel pillar. By positioning the drain select layer parallel to the horizontal gate electrode layers and adjacent to the dielectric terminal post, access to the drain side of the vertical structure is achieved.

在一些實施例中,該積體電路進一步包括圍繞該通道柱之另一端安置之一第二介電端柱。此第二介電端柱相鄰於該通道柱之長度之另一端且亦相鄰於該鐵電柱。In some embodiments, the integrated circuit further includes a second dielectric terminal disposed around the other end of the channel pillar. The second dielectric terminal is adjacent to the other end of the length of the channel pillar and is also adjacent to the ferroelectric pillar.

該積體電路可進一步包括與該複數個水平閘極電極層平行安置之一源極選擇層。此源極選擇層將相鄰於圍繞該通道柱之另一端安置之該第二介電端柱。在一些實施例中,該第二介電端柱相鄰於該通道柱之長度之另一端且相鄰於該鐵電柱。The integrated circuit may further include a source select layer disposed parallel to the plurality of horizontal gate electrode layers. The source select layer is adjacent to the second dielectric terminal disposed around the other end of the channel pillar. In some embodiments, the second dielectric terminal is adjacent to the other end of the length of the channel pillar and adjacent to the ferroelectric pillar.

在一些實施例中,該積體電路進一步包括一第二垂直結構。該第二垂直結構可形成為實質上相同於該第一垂直結構,但依一預定水平距離相鄰於該第一垂直柱安置。In some embodiments, the integrated circuit further includes a second vertical structure. The second vertical structure can be formed substantially the same as the first vertical structure, but is positioned adjacent to the first vertical column at a predetermined horizontal distance.

在一些實施例中,該積體電路可包括一第二垂直結構。該第二垂直結構可形成為實質上相同於該第一垂直結構,但依一預定水平距離相鄰於該第一垂直柱安置。In some embodiments, the integrated circuit may include a second vertical structure. The second vertical structure may be formed substantially identically to the first vertical structure, but disposed adjacent to the first vertical column at a predetermined horizontal distance.

在一些實施例中,該積體電路可包括一第一垂直結構及一第二垂直結構,其中該第二垂直結構形成為實質上相同於該第一垂直結構,但依一預定水平距離相鄰於該第一垂直柱安置。該第一及第二垂直結構可經組態以形成一單埠3D NAND結構。In some embodiments, the integrated circuit may include a first vertical structure and a second vertical structure, wherein the second vertical structure is formed substantially identically to the first vertical structure but is positioned adjacent to the first vertical column at a predetermined horizontal distance. The first and second vertical structures may be configured to form a single-port 3D NAND structure.

視情況,在一些實施例中,該第一垂直結構之該複數個水平閘極電極層由以下之至少一者形成:鎢、氮化鈦、氮化鉭、鎳、鉬、鉑、鈀、鈷、金、鋁、銅、鉿、氮化鉿、銥、氧化銥、釕、氧化釕、矽化物、TiSi 2、CoSi 2、NiSi、石墨烯、碳奈米管、摻雜多晶矽、氧化銦錫、銀、摻鋁氧化鋅、鎵、砷化鎵、氧化銦鎵鋅、金屬合金、AlCu、TiW及導電聚合物。 Optionally, in some embodiments, the plurality of horizontal gate electrode layers of the first vertical structure are formed of at least one of tungsten, titanium nitride, tantalum nitride, nickel, molybdenum, platinum, palladium, cobalt, gold, aluminum, copper, eum, eum nitride, iridium, iridium oxide, ruthenium, ruthenium oxide, silicide, TiSi 2 , CoSi 2 , NiSi, graphene, carbon nanotubes, doped polysilicon, indium tin oxide, silver, aluminum-doped zinc oxide, gallium, gallium arsenide, indium gallium zinc oxide, metal alloy, AlCu, TiW, and a conductive polymer.

在一些實施例中,該第一垂直結構之該鐵電柱由以下材料之至少一者形成:鈣鈦礦;鋯鈦酸鉛(PZT);鈦酸鋇(BaTiO 3);鈦酸鍶(SrTiO 3);鐵酸鉍(BiFeO 3);鈮酸鉀(KNbO 3);鈮酸鋰(LiNbO 3);鉭酸鋰(LiTaO 3);鈦酸鈉鉍(Na 0.5Bi 0.5TiO 3);鈦酸鉍(Bi 4Ti 3O 12);鈮酸鉍鋅(Bi(Zn 1/2Ti 1/2)O 3);鈦酸鉍鑭(BiLaTiO 3);鈦酸鉍鎳(BiNiTiO 3);鈮酸鉛鎂-鈦酸鉛(PMN-PT);鋯鈦酸鉛鑭(PLZT);摻釹鈦酸鉍(Bi 4-xNd xTi 3O 12);如氧化鉿(HfO 2)之鉿基氧化物及包含摻鋯氧化鉿(HfZrO 2)之摻雜氧化鉿;諸如鈮酸鋇鍶(BSN)、鈮酸鉛鋇(PBN)及鉭鈮酸鉀(KTN)之鎢青銅結構材料;包含鈦酸鉍(Bi 4Ti 3O 12)、鉭酸鍶鉍(SBT)及鈮酸鈣鉍(CBN)之鉍層結構化鐵電體;如聚偏二氟乙烯(PVDF)、TrFE (三氟乙烯)及P(VDF-TrFE)共聚物之有機鐵電體;奧里維里斯相氧化物;如YMnO 3及經鑭改質之鋯鈦酸鉛(PLZT)之稀土水錳礦;諸如鈮酸鉛鎂(PMN)、鉭酸鉛鈧(PST)及鈮酸鉛銦(PIN)之弛豫鐵電體;如亞錳酸鋱(TbMnO 3)及氧化銪鈦(EuTiO 3)之多鐵性材料;以及薄膜鐵電體、層狀超晶格等等。在各種實施例中,廣泛列表為選擇適合於垂直結構之鐵電材料提供靈活性。 In some embodiments, the ferroelectric pillar of the first vertical structure is formed of at least one of the following materials: calcium titanium; lead zirconate titanate (PZT); barium titanate (BaTiO 3 ); strontium titanate (SrTiO 3 ); bismuth ferrite (BiFeO 3 ); potassium niobate (KNbO 3 ); lithium niobate (LiNbO 3 ); lithium niobate (LiTaO 3 ); bismuth sodium titanate (Na 0.5 Bi 0.5 TiO 3 ); bismuth titanium oxide (Bi 4 Ti 3 O 12 ); bismuth zinc niobate (Bi(Zn 1/2 Ti 1/2 )O 3 ); bismuth titanium oxide (BiLaTiO 3 ); bismuth nickel titanium oxide (BiNiTiO 3 ); lead magnesium nibrate-lead titanium oxide (PMN-PT); lead nibrate zirconate (PLZT); neodymium titanium oxide (Bi 4-x Nd x Ti 3 O 12 ); nibrate-based oxides such as nibrate (HfO 2 ) and doped nibrate oxides including zirconate (HfZrO 2 ); tungsten-copper structural materials such as barium strontium nibrate (BSN), lead barium nibrate (PBN), and potassium tantalum nibrate (KTN); bismuth titanium oxide (Bi 4 Ti 3 O 12 ), bismuth-layered ferroelectrics such as strontium bismuth tantalum (SBT) and calcium bismuth niobate (CBN); organic ferroelectrics such as polyvinylidene fluoride (PVDF), TrFE (trifluoroethylene) and P(VDF-TrFE) copolymers; Olivierius phase oxides; rare earth manganites such as YMnO 3 and lead zirconium titanate modified with titanium (PLZT); relaxor ferroelectrics such as lead magnesium niobate (PMN), lead phosphine tantalum (PST) and lead indium niobate (PIN); zirconium manganite (TbMnO 3 ) and eutectic titanium oxide (EuTiO 3 ) multiferroic materials; as well as thin film ferroelectrics, layered superlattices, etc. In various embodiments, the extensive list provides flexibility in selecting ferroelectric materials suitable for vertical structures.

在一些實施例中,該第一垂直結構之該通道柱由以下之一者形成:氧化銦鎵鋅(IGZO)、氧化銦鋅(IZO)、氧化鋅錫(ZTO)、氧化鋁鋅(AZO)、氧化銦鎢(IWO)、氧化鎵鋅(GZO)、氧化鉿銦(HIO)、氧化鎘(CdO)、多晶矽、聚鍺、硒化鎘(CdSe)、硒化銅銦鎵(CIGS)、結晶矽(c-矽)、結晶鍺(c-鍺)、砷化鎵(GaAs)、磷化銦(InP)、銻化銦(InSb)、碳化矽(SiC)、氮化鎵(GaN)、氧化鋅(ZnO)、並五苯、P3HT (聚(3-己基噻吩))、聚噻吩、聚伸苯基乙烯(PPV)、石墨烯、碳奈米管(CNT)、甲基銨鹵化鉛(CH 3NH 3PbX 3,X=Cl、Br、I)、鹵化銫鉛(CsPbX 3,X=Cl、Br、I)、硫化鉛(PbS)、硒化鉛(PbSe)、硒化鎘(CdSe)、砷化銦(InAs)、方鈷礦、RP相、包合物、鈣鈦礦氧化物或磁性半導體。 In some embodiments, the channel pillar of the first vertical structure is formed of one of the following: indium gallium zinc oxide (IGZO), indium zinc oxide (IZO), zinc tin oxide (ZTO), aluminum zinc oxide (AZO), indium tungsten oxide (IWO), gallium zinc oxide (GZO), indium indium oxide (HIO), cadmium oxide (CdO), polycrystalline silicon, polygermanium, cadmium selenide (CdSe), copper indium gallium selenide (CIGS), crystalline silicon (c-Si), crystalline germanium (c-Ge), gallium arsenide (GaAs), indium phosphide (InP), indium sulfide (InSb), silicon carbide (SiC), gallium nitride (GaN), zinc oxide (ZnO), pentacene, P3HT (poly(3-hexylthiophene)), polythiophene, polyphenylene vinylene (PPV), graphene, carbon nanotubes (CNTs), methylammonium lead halide (CH 3 NH 3 PbX 3 , X=Cl, Br, I), cesium lead halide (CsPbX 3 , X=Cl, Br, I), lead sulfide (PbS), lead selenide (PbSe), cadmium selenide (CdSe), indium arsenide (InAs), cobalt, RP phase, inclusion compound, calcium titanium oxide, or magnetic semiconductor.

在一些實施例中,該第一垂直結構之該介電柱由以下之至少一者形成:氧化鉿(HfO 2)、氧化鋯(ZrO 2)、氧化鋁(Al 2O 3)、二氧化矽(SiO 2)、二氧化鈦(TiO 2)、氧化鉭(Ta 2O 5)、氧化鑭(La 2O 3)、氧化釔(Y 2O 3)、氮化矽(Si 3N 4)、氮化鋁(AlN)、碳化矽(SiC)、鈦酸鍶(SrTiO 3)、鈦酸鋇鍶(BST)、鋯鈦酸鉛(PZT)、鐵酸鉍(BiFeO 3)、氧化鎂(MgO)、氧化鈰(CeO 2)、氧化鎳(NiO)、氧化鈷(CoO)、氧化銅(CuO)、氧化錳(MnO)、氧化鋅(ZnO)、氧化釓(Gd 2O 3)、氧化鏑(Dy 2O 3)、氧化釤(Sm 2O 3)、氧化銪(Eu 2O 3)、氧化鋱(Tb 4O 7)、氧化釩(V 2O 5)、氧化鈮(Nb 2O 5)、氧化鉻(Cr 2O 3)、氧化鐵(Fe 2O 3)、氧化鉬(MoO 3)、氧化鎢(WO 3)、氧化釕(RuO 2)、氧化銠(Rh 2O 3)、氧化鈀(PdO)、氧化銀(Ag 2O)、氧化鎘(CdO)、氧化錫(SnO 2)、氧化銻(Sb 2O 3)、氧化碲(TeO 2)、氧化銥(IrO 2)、氧化鉑(PtO 2)、氧化金(Au 2O 3)、氧化鈹(BeO)、鋁酸鎂(MgAl 2O 4)、硒化鋅(ZnSe)、碲化鋅(ZnTe)、硫化鎘(CdS)、硒化鎘(CdSe)、碲化鎘(CdTe)、氧化矽鍺(SiGeO x)、氧化鉍(Bi 2O 3)、鈦酸鉍(Bi 4Ti 3O 12)、鈣鈦礦氧化物、層狀氧化物或複合過渡金屬氧化物。 In some embodiments, the dielectric pillar of the first vertical structure is formed of at least one of: ferrite (HfO 2 ), zirconium oxide (ZrO 2 ), aluminum oxide (Al 2 O 3 ), silicon dioxide (SiO 2 ), titanium dioxide (TiO 2 ), tantalum oxide (Ta 2 O 5 ), lumen oxide (La 2 O 3 ), yttrium oxide (Y 2 O 3 ), silicon nitride (Si 3 N 4 ), aluminum nitride (AlN), silicon carbide (SiC), strontium titanate (SrTiO 3 ), barium strontium titanate (BST), lead zirconium titanate (PZT), bismuth ferrite (BiFeO 3 ), magnesium oxide (MgO), cerium oxide (CeO 2 ), nickel oxide (NiO), cobalt oxide (CoO), copper oxide (CuO), manganese oxide (MnO), zinc oxide (ZnO), gadolinium oxide (Gd 2 O 3 ), daphnia (Dy 2 O 3 ), sulphur oxide (Sm 2 O 3 ), chalcanthus oxide (Eu 2 O 3 ), zirconium oxide (Tb 4 O 7 ), vanadium oxide (V 2 O 5 ), niobium oxide (Nb 2 O 5 ), chromium oxide (Cr 2 O 3 ), iron oxide (Fe 2 O 3 ), molybdenum oxide (MoO 3 ), tungsten oxide (WO 3 ), ruthenium oxide (RuO 2 ), rhodium oxide (Rh 2 O 3 ), palladium oxide (PdO), silver oxide (Ag 2 O), cadmium oxide (CdO), tin oxide (SnO 2 ), antimony oxide (Sb 2 O 3 ), tellurium oxide (TeO 2 ), iridium oxide (IrO 2 ), platinum oxide (PtO 2 ), gold oxide (Au 2 O 3 ), beryllium oxide (BeO), magnesium aluminate (MgAl 2 O 4 ), zinc selenide (ZnSe), zinc telluride (ZnTe), cadmium sulfide (CdS), cadmium selenide (CdSe), cadmium telluride (CdTe), silicon germanium oxide (SiGeO x ), bismuth oxide (Bi 2 O 3 ), bismuth titanium oxide (Bi 4 Ti 3 O 12 ), calcium-titanium oxide, layered oxide, or complex transition metal oxide.

在一些實施例中,該複數個水平閘極電極層之一者及該第一垂直結構可經組態以形成複數個電晶體。此等電晶體可包含本文中所描述之第一電晶體。該第一垂直結構包括一介電柱、圍繞該介電柱安置之一通道柱、圍繞該通道柱安置之一鐵電柱及相鄰於該鐵電柱安置之複數個水平閘極電極層。In some embodiments, one of the plurality of horizontal gate electrode layers and the first vertical structure can be configured to form a plurality of transistors. These transistors can include the first transistor described herein. The first vertical structure includes a dielectric pillar, a channel pillar disposed around the dielectric pillar, a ferroelectric pillar disposed around the channel pillar, and a plurality of horizontal gate electrode layers disposed adjacent to the ferroelectric pillar.

在一些實施例中,該積體電路可包括一第一垂直結構。該第一垂直結構可包括一傳遞閘極電極柱。一介電柱可圍繞該傳遞閘極電極柱安置。視情況,一通道柱可圍繞該介電柱安置。另外,一鐵電柱可沿該通道柱之一長度圍繞通道安置。該積體電路可進一步包括複數個水平閘極電極層,其中各層安置成彼此之間相距一預定距離。該複數個水平閘極電極層之各者可沿該鐵電柱之長度相鄰於該鐵電柱安置。In some embodiments, the integrated circuit may include a first vertical structure. The first vertical structure may include a transfer gate electrode pillar. A dielectric pillar may be disposed around the transfer gate electrode pillar. Optionally, a channel pillar may be disposed around the dielectric pillar. Additionally, a ferroelectric pillar may be disposed around the channel along a length of the channel pillar. The integrated circuit may further include a plurality of horizontal gate electrode layers, wherein each layer is disposed a predetermined distance from each other. Each of the plurality of horizontal gate electrode layers may be disposed adjacent to the ferroelectric pillar along the length of the ferroelectric pillar.

在一些實施例中,該積體電路可涉及實質上呈圓柱形之一傳遞閘極電極柱。此圓柱形傳遞閘極電極柱可視情況在一端上具有一第一直徑且在另一端上具有一第二直徑,其中該等直徑相同或該第一直徑大於該第二直徑。在不同變體中,該傳遞閘極電極柱亦可組態為一實心柱或一空心柱。In some embodiments, the integrated circuit may include a substantially cylindrical transfer gate electrode post. The cylindrical transfer gate electrode post may optionally have a first diameter at one end and a second diameter at the other end, wherein the diameters are the same or the first diameter is larger than the second diameter. In various variations, the transfer gate electrode post may also be configured as a solid post or a hollow post.

根據一個實施例,該積體電路可具有實質上呈圓柱形之一傳遞閘極電極柱。在一些實施例中,此傳遞閘極電極柱在一第一端上具有一第一直徑且在第二端上具有一第二直徑。在各種實施例中,此等第一及第二直徑可相同或不同,且在一些情況中,該第一直徑視情況大於該第二直徑。According to one embodiment, the integrated circuit may include a substantially cylindrical transfer gate electrode pillar. In some embodiments, the transfer gate electrode pillar has a first diameter at a first end and a second diameter at a second end. In various embodiments, the first and second diameters may be the same or different, and in some cases, the first diameter may be larger than the second diameter.

在一些實施例中,該第一垂直結構之該傳遞閘極電極柱實質上呈圓柱形,其在一第一端上具有一第一直徑且在一第二對置端上具有一第二直徑。視情況,該積體電路可經組態使得該傳遞閘極電極柱之該第一直徑及該第二直徑相同。In some embodiments, the transfer gate electrode pillar of the first vertical structure is substantially cylindrical, having a first diameter at a first end and a second diameter at a second, opposite end. Optionally, the integrated circuit can be configured such that the first diameter and the second diameter of the transfer gate electrode pillar are the same.

在一些實施例中,該第一垂直結構之該傳遞閘極電極柱實質上呈圓柱形,其在一第一端上具有一第一直徑且在第二端上具有一第二直徑。視情況,該傳遞閘極電極柱之該第一直徑可大於該第二直徑。In some embodiments, the transfer gate electrode post of the first vertical structure is substantially cylindrical, having a first diameter at a first end and a second diameter at a second end. Optionally, the first diameter of the transfer gate electrode post may be larger than the second diameter.

在一些實施例中,該積體電路可包括作為該第一垂直結構之部分之一傳遞閘極電極柱。此傳遞閘極電極柱可呈實質上呈圓柱形。視情況,該傳遞閘極電極柱係實心而非空心。In some embodiments, the integrated circuit may include a transfer gate electrode pillar as part of the first vertical structure. The transfer gate electrode pillar may be substantially cylindrical. Optionally, the transfer gate electrode pillar is solid rather than hollow.

在一些實施例中,該積體電路可具有一空心傳遞閘極電極柱。明確而言,作為該第一垂直結構之部分且圍繞該介電柱及在該通道柱內安置之該傳遞閘極電極柱可為空心而非實心柱。依一空心組態形成該傳遞閘極電極柱可提供與材料成本或處理簡單性相關之某些優點。In some embodiments, the integrated circuit may have a hollow transfer gate electrode pillar. Specifically, the transfer gate electrode pillar that is part of the first vertical structure and surrounds the dielectric pillar and is disposed within the channel pillar may be hollow rather than solid. Forming the transfer gate electrode pillar in a hollow configuration may offer certain advantages related to material cost or processing simplicity.

在一些實施例中,該積體電路可包括實質上呈圓柱形形狀之一介電柱。該圓柱形介電柱圍繞作為一第一垂直結構之部分之一傳遞閘極電極柱安置。該介電柱之直徑可沿其長度均勻或可自一端至另一端變動。In some embodiments, the integrated circuit may include a substantially cylindrical dielectric pillar disposed around a transfer gate electrode pillar that is part of a first vertical structure. The diameter of the dielectric pillar may be uniform along its length or may vary from one end to the other.

在一些實施例中,該第一垂直結構之該介電柱實質上呈圓柱形。視情況,該介電柱可在一第一端上具有一第一直徑且在第二端上具有一第二直徑。在各種實施例中,該介電柱之該第一及第二直徑可經組態為相同或不同。In some embodiments, the dielectric pillar of the first vertical structure is substantially cylindrical. Optionally, the dielectric pillar may have a first diameter at a first end and a second diameter at a second end. In various embodiments, the first and second diameters of the dielectric pillar may be configured to be the same or different.

在一些實施例中,該第一垂直結構中之該介電柱實質上呈圓柱形,其在一第一端上具有一第一直徑且在第二端上具有一第二直徑。該介電柱之該第一及第二直徑可經組態為相同。In some embodiments, the dielectric pillar in the first vertical structure is substantially cylindrical, having a first diameter at a first end and a second diameter at a second end. The first and second diameters of the dielectric pillar can be configured to be the same.

該積體電路可包括實質上呈圓柱形之一介電柱,其中該介電柱在一第一端上具有一第一直徑且在第二端上具有一第二直徑。在一些實施例中,該介電柱之該第一直徑大於該第二直徑。The integrated circuit may include a substantially cylindrical dielectric pillar, wherein the dielectric pillar has a first diameter at a first end and a second diameter at a second end. In some embodiments, the first diameter of the dielectric pillar is larger than the second diameter.

在一些實施例中,該積體電路可包括實質上呈圓柱形形狀之一通道柱。該通道柱圍繞一介電柱安置,該介電柱本身圍繞一傳遞閘極電極柱安置。一鐵電柱沿該通道柱之長度圍繞該圓柱形通道柱安置。In some embodiments, the integrated circuit may include a substantially cylindrical channel post disposed around a dielectric post, which itself is disposed around a transfer gate electrode post. A ferroelectric post is disposed around the cylindrical channel post along the length of the channel post.

在一些實施例中,圍繞該第一垂直結構中之該介電柱形成之該通道柱實質上呈圓柱形形狀。該通道柱可在一第一端上具有一第一直徑且在一第二對置端上具有一第二直徑。該通道柱之該第一及第二直徑可相同或該第一直徑可大於該第二直徑。In some embodiments, the channel pillar formed around the dielectric pillar in the first vertical structure is substantially cylindrical in shape. The channel pillar may have a first diameter at a first end and a second diameter at a second, opposite end. The first and second diameters of the channel pillar may be the same, or the first diameter may be larger than the second diameter.

在一些實施例中,該第一垂直結構中之該通道柱可具有沿其整個長度具有一均勻直徑之一實質上圓柱形形狀。明確而言,該通道柱在一第一端上具有一第一直徑且在一第二對置端上具有一第二直徑,其中該第一及第二直徑相同。此均勻圓柱形通道柱平行於該鐵電柱及該垂直結構中之複數個水平閘極電極層運行。In some embodiments, the channel pillar in the first vertical structure can have a substantially cylindrical shape with a uniform diameter along its entire length. Specifically, the channel pillar has a first diameter at a first end and a second diameter at a second, opposite end, wherein the first and second diameters are the same. This uniform cylindrical channel pillar runs parallel to the ferroelectric pillar and the plurality of horizontal gate electrode layers in the vertical structure.

在一些實施例中,該積體電路可涉及實質上呈圓柱形之一通道柱,其中該通道柱在一第一端上具有一第一直徑且在第二端上具有一第二直徑。視情況,該通道柱之該第一直徑經組態為大於該第二直徑。In some embodiments, the integrated circuit may include a substantially cylindrical channel pillar having a first diameter at a first end and a second diameter at a second end. Optionally, the first diameter of the channel pillar is configured to be larger than the second diameter.

在一些實施例中,該積體電路可具有實質上呈圓柱形形狀之一鐵電柱。該鐵電柱沿該通道柱之長度圍繞該通道柱安置。該圓柱形鐵電柱視情況在一第一端上具有一第一直徑且在第二端上具有一第二直徑,其中該等直徑可相同或該第一直徑可大於該第二直徑。In some embodiments, the integrated circuit may include a substantially cylindrical ferroelectric post disposed around the channel post along its length. The cylindrical ferroelectric post may have a first diameter at a first end and a second diameter at a second end, wherein the diameters may be the same or the first diameter may be larger than the second diameter.

在一些實施例中,該積體電路可包括實質上呈圓柱形之一鐵電柱。該鐵電柱可在一第一端上具有一第一直徑且在第二端上具有一第二直徑。視情況,該第一直徑及該第二直徑可相同或該第一直徑可大於該第二直徑。In some embodiments, the integrated circuit may include a substantially cylindrical ferroelectric pillar. The ferroelectric pillar may have a first diameter at a first end and a second diameter at a second end. Optionally, the first diameter and the second diameter may be the same, or the first diameter may be larger than the second diameter.

在一些實施例中,該第一垂直結構之該鐵電柱實質上呈圓柱形,其在一第一端上具有一第一直徑且在第二端上具有一第二直徑。視情況,該鐵電柱之該第一及第二直徑經組態為相同。In some embodiments, the ferroelectric post of the first vertical structure is substantially cylindrical, having a first diameter at a first end and a second diameter at a second end. Optionally, the first and second diameters of the ferroelectric post are configured to be the same.

在一些實施例中,該積體電路可具有實質上呈圓柱形之一鐵電柱,其在一第一端上具有一第一直徑且在第二端上具有一第二直徑,其中該第一直徑大於該第二直徑。In some embodiments, the integrated circuit may include a substantially cylindrical ferroelectric post having a first diameter at a first end and a second diameter at a second end, wherein the first diameter is larger than the second diameter.

在一些實施例中,該積體電路進一步包括圍繞該通道柱之一端安置之一介電端柱。此介電端柱相鄰於該通道柱之長度之一端且亦相鄰於該鐵電柱。In some embodiments, the integrated circuit further includes a dielectric terminal disposed around one end of the channel post, the dielectric terminal being adjacent to one end of the length of the channel post and adjacent to the ferroelectric post.

在一些實施例中,該積體電路進一步包括與該複數個水平閘極電極層平行安置之一汲極選擇層。該汲極選擇層相鄰於環繞該通道柱之一端之該介電端柱定位。該介電端柱相鄰於該通道柱之長度之一端且相鄰於該第一垂直結構中之該鐵電柱。In some embodiments, the integrated circuit further includes a drain select layer disposed parallel to the plurality of horizontal gate electrode layers. The drain select layer is positioned adjacent to the dielectric terminal post surrounding one end of the channel pillar. The dielectric terminal post is adjacent to one end of the length of the channel pillar and adjacent to the ferroelectric post in the first vertical structure.

在一些實施例中,該積體電路進一步包括圍繞該通道柱之另一端安置之一第二介電端柱。該第二介電端柱相鄰於該通道柱之長度之另一端且亦相鄰於該鐵電柱。In some embodiments, the integrated circuit further includes a second dielectric terminal disposed around the other end of the channel pillar. The second dielectric terminal is adjacent to the other end of the length of the channel pillar and is also adjacent to the ferroelectric pillar.

在一些實施例中,該積體電路進一步包括與該複數個水平閘極電極層平行且相鄰於環繞該通道柱之另一端之該第二介電端柱安置之一源極選擇層。該源極選擇層相鄰於該通道柱之長度之端及該鐵電柱定位。In some embodiments, the integrated circuit further includes a source select layer disposed parallel to the plurality of horizontal gate electrode layers and adjacent to the second dielectric terminal pillar surrounding the other end of the channel pillar. The source select layer is positioned adjacent to the end of the length of the channel pillar and the ferroelectric pillar.

在一些實施例中,該積體電路進一步包括安置於該通道柱內且相鄰於該傳遞閘極電極柱之一端之一介電水平層。明確而言,一介電層可水平併入該圓柱形通道柱結構內,緊鄰該垂直傳遞閘極電極柱之端定位。此介電隔離層幫助定界3D NAND串內之傳遞閘極區域。In some embodiments, the integrated circuit further includes a horizontal dielectric layer disposed within the channel pillar and adjacent to one end of the pass gate electrode pillar. Specifically, a dielectric layer can be horizontally incorporated into the cylindrical channel pillar structure and positioned adjacent to the end of the vertical pass gate electrode pillar. This dielectric isolation layer helps delimit the pass gate region within the 3D NAND string.

除該第一垂直結構之外,該積體電路亦可包括一第二垂直結構。該第一垂直結構包括一傳遞閘極電極柱、圍繞該傳遞閘極電極柱安置之一介電柱、圍繞該介電柱安置之一通道柱及沿其長度圍繞該通道柱安置之一鐵電柱。該複數個水平閘極電極層之各者安置成彼此之間相距一預定距離且相鄰於該鐵電柱。該第二垂直結構可實質上相同於該第一垂直結構形成,但依一預定距離水平相鄰於該第一垂直結構安置。In addition to the first vertical structure, the integrated circuit may also include a second vertical structure. The first vertical structure includes a transfer gate electrode post, a dielectric post disposed around the transfer gate electrode post, a channel post disposed around the dielectric post, and a ferroelectric post disposed around the channel post along its length. Each of the plurality of horizontal gate electrode layers is disposed a predetermined distance apart from one another and adjacent to the ferroelectric post. The second vertical structure may be formed substantially identically to the first vertical structure, but disposed horizontally adjacent to the first vertical structure at a predetermined distance.

除先前所描述之第一垂直結構之外,該積體電路可包括一第二垂直結構。在一些實施例中,此第二垂直結構形成為具有與該第一垂直結構實質上相同之組合物及尺寸。然而,該第二結構依其等之間的一預定距離水平相鄰於該第一垂直結構安置。依此平行配置組態兩個相同垂直結構實現某些電路組態,諸如形成具有成對垂直結構之一雙埠3D NAND結構。In addition to the first vertical structure described previously, the integrated circuit may include a second vertical structure. In some embodiments, this second vertical structure is formed to have substantially the same composition and dimensions as the first vertical structure. However, the second structure is positioned horizontally adjacent to the first vertical structure with a predetermined distance between them. Configuring two identical vertical structures in this parallel arrangement enables certain circuit configurations, such as forming a dual-port 3D NAND structure with paired vertical structures.

該積體電路可包括第一及第二垂直結構,其中該第二垂直結構形成為實質上相同於該第一垂直結構,但依一預定水平距離相鄰於該第一垂直柱安置。在一些實施例中,此等第一及第二垂直結構經組態以形成一雙埠3D NAND結構。The integrated circuit may include first and second vertical structures, wherein the second vertical structure is formed substantially identically to the first vertical structure but is positioned adjacent to the first vertical column at a predetermined horizontal distance. In some embodiments, the first and second vertical structures are configured to form a dual-port 3D NAND structure.

在一些實施例中,該第一垂直結構之該複數個水平閘極電極層可由選自由以下組成之一群組之至少一種導電材料形成:鎢、氮化鈦、氮化鉭、鎳、鉬、鉑、鈀、鈷、金、鋁、銅、鉿、氮化鉿、銥、氧化銥、釕、氧化釕、諸如TiSi 2、CoSi 2及NiSi之矽化物、石墨烯、碳奈米管、摻雜多晶矽、氧化銦錫、銀、摻鋁氧化鋅、鎵、砷化鎵、氧化銦鎵鋅、諸如AlCu及TiW之金屬合金及導電聚合物。 In some embodiments, the plurality of horizontal gate electrode layers of the first vertical structure may be formed of at least one conductive material selected from the group consisting of tungsten, titanium nitride, tantalum nitride, nickel, molybdenum, platinum, palladium, cobalt, gold, aluminum, copper, eum, eum nitride, iridium, iridium oxide, ruthenium, ruthenium oxide, silicides such as TiSi2 , CoSi2 , and NiSi, graphene, carbon nanotubes, doped polysilicon, indium tin oxide, silver, aluminum-doped zinc oxide, gallium, gallium arsenide, indium gallium zinc oxide, metal alloys such as AlCu and TiW, and conductive polymers.

在一些實施例中,該第一垂直結構之該傳遞閘極電極柱由以下材料之至少一者形成:鎢、氮化鈦、氮化鉭、鎳、鉬、鉑、鈀、鈷、金、鋁、銅、鉿、氮化鉿、銥、氧化銥、釕、氧化釕、諸如TiSi 2、CoSi 2及NiSi之矽化物、石墨烯、碳奈米管、摻雜多晶矽、氧化銦錫、銀、摻鋁氧化鋅、鎵、砷化鎵、氧化銦鎵鋅、諸如AlCu及TiW之金屬合金及導電聚合物。該傳遞閘極電極柱可使用此等導電材料之一或多者來建構。 In some embodiments, the transfer gate electrode pillar of the first vertical structure is formed of at least one of the following materials: tungsten, titanium nitride, tantalum nitride, nickel, molybdenum, platinum, palladium, cobalt, gold, aluminum, copper, eum, eum nitride, iridium, iridium oxide, ruthenium, ruthenium oxide, silicides such as TiSi2 , CoSi2 , and NiSi, graphene, carbon nanotubes, doped polysilicon, indium tin oxide, silver, aluminum-doped zinc oxide, gallium, gallium arsenide, indium gallium zinc oxide, metal alloys such as AlCu and TiW, and conductive polymers. The transfer gate electrode pillar can be constructed using one or more of these conductive materials.

在一些實施例中,該第一垂直結構之該鐵電柱由以下鐵電材料之至少一者形成:鈣鈦礦;鋯鈦酸鉛(PZT);鈦酸鋇(BaTiO 3);鈦酸鍶(SrTiO 3);鐵酸鉍(BiFeO 3);鈮酸鉀(KNbO 3);鈮酸鋰(LiNbO 3);鉭酸鋰(LiTaO 3);鈦酸鈉鉍(Na 0.5Bi 0.5TiO 3);鈦酸鉍(Bi 4Ti 3O 12);鈮酸鉍鋅(Bi(Zn 1/2Ti 1/2)O 3);鈦酸鉍鑭(BiLaTiO 3);鈦酸鉍鎳(BiNiTiO 3);鈮酸鉛鎂-鈦酸鉛(PMN-PT);鋯鈦酸鉛鑭(PLZT);摻釹鈦酸鉍(Bi 4-xNd xTi 3O 12);鉿基氧化物;氧化鉿(HfO 2);摻雜氧化鉿;摻鋯氧化鉿(HfZrO 2);鎢青銅結構材料;鈮酸鋇鍶(BSN);鈮酸鉛鋇(PBN);鉭鈮酸鉀(KTN);鉍層結構化鐵電體;鈦酸鉍(Bi 4Ti 3O 12);鉭酸鍶鉍(SBT);鈮酸鈣鉍(CBN);有機鐵電體;聚偏二氟乙烯(PVDF);TrFE (三氟乙烯);P(VDF-TrFE)共聚物;奧里維里斯相氧化物;稀土水錳礦;YMnO 3;經鑭改質之鋯鈦酸鉛(PLZT);氧化鎳錳(NiMnO 3);弛豫鐵電體;鈮酸鉛鎂(PMN);鉭酸鉛鈧(PST);鈮酸鉛銦(PIN);多鐵性材料;亞錳酸鋱(TbMnO 3);氧化銪鈦(EuTiO 3);SbSI (碘化銻硫);GeTe (碲化鍺);SnTe (碲化錫);薄膜鐵電體;PZT薄膜;SBT薄膜;HfO 2基薄膜;層狀超晶格;或PbTiO 3/SrTiO 3In some embodiments, the ferroelectric pillar of the first vertical structure is formed of at least one of the following ferroelectric materials: calcium titanium; lead zirconate titanate (PZT); barium titanate (BaTiO 3 ); strontium titanate (SrTiO 3 ); bismuth ferrite (BiFeO 3 ); potassium niobate (KNbO 3 ); lithium niobate (LiNbO 3 ); lithium niobate (LiTaO 3 ); bismuth sodium titanate (Na 0.5 Bi 0.5 TiO 3 ); bismuth titanium oxide (Bi 4 Ti 3 O 12 ); bismuth zinc niobate (Bi(Zn 1/2 Ti 1/2 )O 3 ); bismuth titanium oxide (BiLaTiO 3 ); bismuth nickel titanium oxide (BiNiTiO 3 ); lead magnesium nibrate-lead titanium oxide (PMN-PT); lead zirconia titanium oxide (PLZT); bismuth titanium oxide doped with neodymium (Bi 4-x Nd x Ti 3 O 12 ); benzimidazole oxide; benzimidazole oxide (HfO 2 ); doped benzimidazole oxide; zirconium-doped benzimidazole oxide (HfZrO 2 ); tungsten-bronze structural materials; barium strontium niobate (BSN); lead barium niobate (PBN); potassium niobate (KTN); bismuth-layered ferroelectrics; bismuth titanium oxide (Bi 4 Ti 3 O 12 ); strontium bismuth oxide (SBT); calcium bismuth niobate (CBN); organic ferroelectrics; polyvinylidene fluoride (PVDF); TrFE (trifluoroethylene); P(VDF-TrFE) copolymer; oliveris phase oxide; rare earth manganite; YMnO 3 ; lead zirconium titanate modified with pyrolysis (PLZT); nickel manganese oxide (NiMnO 3 ); relaxor ferroelectrics; lead magnesium nibrate (PMN); lead tantalum tantalum (PST); lead indium nibrate (PIN); multiferroic materials; titanate manganite (TbMnO 3 ); titania (EuTiO 3 ); SbSI (antimony sulfur iodide); GeTe (germanium telluride); SnTe (tin telluride); thin film ferroelectrics; PZT thin film; SBT thin film; HfO 2 -based thin film; layered superlattice; or PbTiO 3 /SrTiO 3 .

在一些實施例中,該第一垂直結構之該通道柱由以下材料之至少一者形成:氧化銦鎵鋅(IGZO)、氧化銦鋅(IZO)、氧化鋅錫(ZTO)、氧化鋁鋅(AZO)、氧化銦鎢(IWO)、氧化鎵鋅(GZO)、氧化鉿銦(HIO)、氧化鎘(CdO)、多晶矽、聚鍺、硒化鎘(CdSe)、硒化銅銦鎵(CIGS)、結晶矽(c-矽)、結晶鍺(c-鍺)、砷化鎵(GaAs)、磷化銦(InP)、銻化銦(InSb)、碳化矽(SiC)、氮化鎵(GaN)、氧化鋅(ZnO)、並五苯、P3HT (聚(3-己基噻吩))、聚噻吩、聚伸苯基乙烯(PPV)、石墨烯、碳奈米管(CNT)、甲基銨鹵化鉛(CH 3NH 3PbX 3,X=Cl、Br、I)、鹵化銫鉛(CsPbX 3,X=Cl、Br、I)、硫化鉛(PbS)、硒化鉛(PbSe)、硒化鎘(CdSe)、砷化銦(InAs)、方鈷礦、RP相、包合物、鈣鈦礦氧化物及磁性半導體。通道柱材料之選擇可影響諸如電子遷移率、切換速度、功耗等之特性。 In some embodiments, the channel pillar of the first vertical structure is formed of at least one of the following materials: indium gallium zinc oxide (IGZO), indium zinc oxide (IZO), zinc tin oxide (ZTO), aluminum zinc oxide (AZO), indium tungsten oxide (IWO), gallium zinc oxide (GZO), indium indium oxide (HIO), cadmium oxide (CdO), polycrystalline silicon, polygermanium, cadmium selenide (CdSe), copper indium gallium selenide (CIGS), crystalline silicon (c-Si), crystalline germanium (c-Ge), gallium arsenide (GaAs), indium phosphide (InP), indium sulfide (InSb), silicon carbide (SiC), gallium nitride (GaN), zinc oxide (ZnO), pentacene, P3HT (poly(3-hexylthiophene)), polythiophene, polyphenylene vinylene (PPV), graphene, carbon nanotubes (CNTs), methylammonium lead halide (CH 3 NH 3 PbX 3 , X=Cl, Br, I), cesium lead halide (CsPbX 3 , X=Cl, Br, I), lead sulfide (PbS), lead selenide (PbSe), cadmium selenide (CdSe), indium arsenide (InAs), cobalt oxide, RP phase, inclusion complex, calcium-titanium oxide, and magnetic semiconductors. The choice of channel pillar material can affect properties such as electron mobility, switching speed, and power consumption.

在一些實施例中,本文中所描述之介電柱由以下之至少一者形成:氧化鉿(HfO 2)、氧化鋯(ZrO 2)、氧化鋁(Al 2O 3)、二氧化矽(SiO 2)、二氧化鈦(TiO 2)、氧化鉭(Ta 2O 5)、氧化鑭(La 2O 3)、氧化釔(Y 2O 3)、氮化矽(Si 3N 4)、氮化鋁(AlN)、碳化矽(SiC)、鈦酸鍶(SrTiO 3)、鈦酸鋇鍶(BST)、鋯鈦酸鉛(PZT)、鐵酸鉍(BiFeO 3)、氧化鎂(MgO)、氧化鈰(CeO 2)、氧化鎳(NiO)、氧化鈷(CoO)、氧化銅(CuO)、氧化錳(MnO)、氧化鋅(ZnO)、氧化釓(Gd 2O 3)、氧化鏑(Dy 2O 3)、氧化釤(Sm 2O 3)、氧化銪(Eu 2O 3)、氧化鋱(Tb 4O 7)、氧化釩(V 2O 5)、氧化鈮(Nb 2O 5)、氧化鉻(Cr 2O 3)、氧化鐵(Fe 2O 3)、氧化鉬(MoO 3)、氧化鎢(WO 3)、氧化釕(RuO 2)、氧化銠(Rh 2O 3)、氧化鈀(PdO)、氧化銀(Ag 2O)、氧化鎘(CdO)、氧化錫(SnO 2)、氧化銻(Sb 2O 3)、氧化碲(TeO 2)、氧化銥(IrO 2)、氧化鉑(PtO 2)、氧化金(Au 2O 3)、氧化鈹(BeO)、鋁酸鎂(MgAl 2O 4)、硒化鋅(ZnSe)、碲化鋅(ZnTe)、硫化鎘(CdS)、硒化鎘(CdSe)、碲化鎘(CdTe)、氧化矽鍺(SiGeO x)、氧化鉍(Bi 2O 3)、鈦酸鉍(Bi 4Ti 3O 12)、鈣鈦礦氧化物、層狀氧化物或複合過渡金屬氧化物。 In some embodiments, the dielectric pillars described herein are formed of at least one of: ferrite (HfO 2 ), zirconium oxide (ZrO 2 ), aluminum oxide (Al 2 O 3 ), silicon dioxide (SiO 2 ), titanium dioxide (TiO 2 ), tantalum oxide (Ta 2 O 5 ), lumen oxide (La 2 O 3 ), yttrium oxide (Y 2 O 3 ), silicon nitride (Si 3 N 4 ), aluminum nitride (AlN), silicon carbide (SiC), strontium titanate (SrTiO 3 ), barium strontium titanate (BST), lead zirconium titanate (PZT), bismuth ferrite (BiFeO 3 ), magnesium oxide (MgO), cerium oxide (CeO 2 ), nickel oxide (NiO), cobalt oxide (CoO), copper oxide (CuO), manganese oxide (MnO), zinc oxide (ZnO), gadolinium oxide (Gd 2 O 3 ), daphnia (Dy 2 O 3 ), sulphur oxide (Sm 2 O 3 ), chalcanthus oxide (Eu 2 O 3 ), zirconium oxide (Tb 4 O 7 ), vanadium oxide (V 2 O 5 ), niobium oxide (Nb 2 O 5 ), chromium oxide (Cr 2 O 3 ), iron oxide (Fe 2 O 3 ), molybdenum oxide (MoO 3 ), tungsten oxide (WO 3 ), ruthenium oxide (RuO 2 ), rhodium oxide (Rh 2 O 3 ), palladium oxide (PdO), silver oxide (Ag 2 O), cadmium oxide (CdO), tin oxide (SnO 2 ), antimony oxide (Sb 2 O 3 ), tellurium oxide (TeO 2 ), iridium oxide (IrO 2 ), platinum oxide (PtO 2 ), gold oxide (Au 2 O 3 ), beryllium oxide (BeO), magnesium aluminate (MgAl 2 O 4 ), zinc selenide (ZnSe), zinc telluride (ZnTe), cadmium sulfide (CdS), cadmium selenide (CdSe), cadmium telluride (CdTe), silicon germanium oxide (SiGeO x ), bismuth oxide (Bi 2 O 3 ), bismuth titanium oxide (Bi 4 Ti 3 O 12 ), calcium-titanium oxide, layered oxide, or complex transition metal oxide.

在一些實施例中,該複數個水平閘極電極層之一者及該第一垂直結構可經組態以形成複數個電晶體。此等電晶體可包含本文中所描述之第一電晶體。明確而言,該第一垂直結構包括一傳遞閘極電極柱、圍繞該傳遞閘極電極柱安置之一介電柱、圍繞該介電柱安置之一通道柱及沿其長度圍繞該通道柱安置之一鐵電柱。該複數個水平閘極電極層各安置成彼此之間相距一預定距離且沿其長度相鄰於該鐵電柱。此等水平閘極電極層之一者及該第一垂直結構一起形成該複數個電晶體,其等可包含具有本文中所闡述之組態之第一電晶體。In some embodiments, one of the plurality of horizontal gate electrode layers and the first vertical structure can be configured to form a plurality of transistors. These transistors can include the first transistor described herein. Specifically, the first vertical structure includes a transfer gate electrode pillar, a dielectric pillar disposed around the transfer gate electrode pillar, a channel pillar disposed around the dielectric pillar, and a ferroelectric pillar disposed around the channel pillar along its length. The plurality of horizontal gate electrode layers are each disposed a predetermined distance apart from one another and adjacent to the ferroelectric pillar along its length. One of the horizontal gate electrode layers and the first vertical structure together form the plurality of transistors, which may include a first transistor having the configuration described herein.

在一些實施例中,該積體電路包括一第一垂直結構。此垂直結構可涉及一垂直插塞柱。相鄰於此垂直插塞柱,可安置一源極電極柱及一汲極電極柱。一通道柱可圍繞該垂直插塞柱、該源極電極柱及該汲極電極柱安置。另外,一鐵電柱可圍繞此通道柱安置。該積體電路亦可涉及複數個水平閘極電極層,其等各安置成彼此隔開一預定距離。此等水平閘極電極層可各沿其長度相鄰於該鐵電柱安置。In some embodiments, the integrated circuit includes a first vertical structure. The vertical structure may include a vertical plug column. A source electrode column and a drain electrode column may be disposed adjacent to the vertical plug column. A channel column may be disposed around the vertical plug column, the source electrode column, and the drain electrode column. Additionally, a ferroelectric column may be disposed around the channel column. The integrated circuit may also include a plurality of horizontal gate electrode layers, each disposed a predetermined distance apart from another. Each of the horizontal gate electrode layers may be disposed adjacent to the ferroelectric column along its length.

在一些實施例中,該積體電路進一步包括相鄰於該複數個水平閘極電極層之各者安置之氧化物/氮化物/氧化物堆疊。該氧化物/氮化物/氧化物堆疊可提供該等閘極電極層之間的電隔離,同時使該等層能夠控制該垂直通道柱結構中之通道形成。In some embodiments, the integrated circuit further includes an oxide/nitride/oxide stack disposed adjacent to each of the plurality of horizontal gate electrode layers. The oxide/nitride/oxide stack can provide electrical isolation between the gate electrode layers while enabling the layers to control channel formation in the vertical channel pillar structure.

在一些實施例中,該積體電路之垂直插塞柱在一第一端上具有一第一直徑且在第二端上具有一第二直徑。該第一及第二直徑可相同或不同。In some embodiments, the vertical plug of the integrated circuit has a first diameter at a first end and a second diameter at a second end. The first and second diameters can be the same or different.

在一些實施例中,該第一垂直結構之該垂直插塞柱在一第一端上具有一第一直徑且在一第二端上具有一第二直徑。該第一及第二直徑可經組態為相同。In some embodiments, the vertical plug of the first vertical structure has a first diameter at a first end and a second diameter at a second end. The first and second diameters can be configured to be the same.

在一些實施例中,該第一垂直結構中之該垂直插塞柱在一第一端上具有一第一直徑且在第二端上具有一第二直徑,其中該第一直徑大於該第二直徑。In some embodiments, the vertical plug in the first vertical structure has a first diameter on a first end and a second diameter on a second end, wherein the first diameter is larger than the second diameter.

在一些實施例中,該第一垂直結構之該垂直插塞柱經組態為實心而非空心。明確而言,該垂直插塞柱具有一連續材料填充而非一空導管。依一實心組態形成該垂直插塞柱可為整體積體電路結構提供與製造之簡單性或相鄰組件之完整性相關之某些優點。然而,取決於特定設計考量,其他實施例可將一空心組態用於該垂直插塞柱。In some embodiments, the vertical plug of the first vertical structure is configured as solid rather than hollow. Specifically, the vertical plug has a continuous material fill rather than a hollow conduit. Forming the vertical plug in a solid configuration can provide certain advantages to the overall integrated circuit structure related to ease of manufacturing or the integrity of adjacent components. However, other embodiments may utilize a hollow configuration for the vertical plug, depending on specific design considerations.

在一些實施例中,該積體電路可具有一空心垂直插塞柱。明確而言,該第一垂直結構中相鄰於該源極電極柱及該汲極電極柱安置之該垂直插塞柱可為空心而非實心。形成一空心垂直插塞柱結構實現額外設計靈活性。In some embodiments, the integrated circuit may have a hollow vertical plug. Specifically, the vertical plug disposed adjacent to the source electrode and the drain electrode in the first vertical structure may be hollow rather than solid. Forming a hollow vertical plug structure allows for additional design flexibility.

在一些實施例中,該積體電路進一步包括一第二垂直結構。該第二垂直結構可形成為實質上相同於該第一垂直結構,但依一預定水平距離相鄰於該第一垂直結構安置。在某些實施例中,該第一及第二垂直結構可經配置以形成一3D AND結構或一3D NOR結構。In some embodiments, the integrated circuit further includes a second vertical structure. The second vertical structure can be formed substantially identically to the first vertical structure, but positioned adjacent to the first vertical structure at a predetermined horizontal distance. In certain embodiments, the first and second vertical structures can be configured to form a 3D AND structure or a 3D NOR structure.

在一些實施例中,該積體電路進一步包括一第二垂直結構。該第二垂直結構可形成為實質上相同於該第一垂直結構,但依一預定水平距離相鄰於該第一垂直結構安置。In some embodiments, the integrated circuit further includes a second vertical structure. The second vertical structure can be formed substantially identically to the first vertical structure, but positioned adjacent to the first vertical structure at a predetermined horizontal distance.

在一些實施例中,該積體電路可包括一第一垂直結構及一第二垂直結構,其中該第二垂直結構形成為實質上相同於該第一垂直結構,但依一預定水平距離相鄰於該第一垂直結構安置。該第一及第二垂直結構可經組態以形成一3D AND結構。In some embodiments, the integrated circuit may include a first vertical structure and a second vertical structure, wherein the second vertical structure is formed substantially identically to the first vertical structure but is positioned adjacent to the first vertical structure at a predetermined horizontal distance. The first and second vertical structures may be configured to form a 3D AND structure.

在一些實施例中,該積體電路可包括一第一垂直結構及一第二垂直結構,其中該第二垂直結構形成為實質上相同於該第一垂直結構,但依一預定水平距離相鄰於該第一垂直結構安置。該第一及第二垂直結構可經組態以形成一3D NOR結構。In some embodiments, the integrated circuit may include a first vertical structure and a second vertical structure, wherein the second vertical structure is formed substantially identically to the first vertical structure but is positioned adjacent to the first vertical structure at a predetermined horizontal distance. The first and second vertical structures may be configured to form a 3D NOR structure.

在一些實施例中,該第一垂直結構之該複數個水平閘極電極層可由選自由以下組成之一群組之至少一種材料形成:鎢、氮化鈦、氮化鉭、鎳、鉬、鉑、鈀、鈷、金、鋁、銅、鉿、氮化鉿、銥、氧化銥、釕、氧化釕、諸如TiSi 2、CoSi 2、NiSi之矽化物、石墨烯、碳奈米管、摻雜多晶矽、氧化銦錫、銀、摻鋁氧化鋅、鎵、砷化鎵、氧化銦鎵鋅、諸如AlCu及TiW之金屬合金及導電聚合物。 In some embodiments, the plurality of horizontal gate electrode layers of the first vertical structure may be formed of at least one material selected from the group consisting of tungsten, titanium nitride, tantalum nitride, nickel, molybdenum, platinum, palladium, cobalt, gold, aluminum, copper, eum, eum nitride, iridium, iridium oxide, ruthenium, ruthenium oxide, silicides such as TiSi2 , CoSi2 , and NiSi, graphene, carbon nanotubes, doped polysilicon, indium tin oxide, silver, aluminum-doped zinc oxide, gallium, gallium arsenide, indium gallium zinc oxide, metal alloys such as AlCu and TiW, and conductive polymers.

在一些實施例中,該第一垂直結構之該源極電極柱由以下之至少一者形成:鎢、氮化鈦、氮化鉭、鎳、鉬、鉑、鈀、鈷、金、鋁、銅、鉿、氮化鉿、銥、氧化銥、釕、氧化釕、矽化物、TiSi 2、CoSi 2、NiSi、石墨烯、碳奈米管、摻雜多晶矽、氧化銦錫、銀、摻鋁氧化鋅、鎵、砷化鎵、氧化銦鎵鋅、諸如AlCu及TiW之金屬合金及導電聚合物。 In some embodiments, the source electrode pillar of the first vertical structure is formed of at least one of tungsten, titanium nitride, tantalum nitride, nickel, molybdenum, platinum, palladium, cobalt, gold, aluminum, copper, eum, eum nitride, iridium, iridium oxide, ruthenium, ruthenium oxide, silicide, TiSi2 , CoSi2 , NiSi, graphene, carbon nanotubes, doped polysilicon, indium tin oxide, silver, aluminum-doped zinc oxide, gallium, gallium arsenide, indium gallium zinc oxide, metal alloys such as AlCu and TiW, and conductive polymers.

在一些實施例中,該積體電路進一步包括由以下之至少一者形成之一閘極電極柱:鎢、氮化鈦、氮化鉭、鎳、鉬、鉑、鈀、鈷、金、鋁、銅、鉿、氮化鉿、銥、氧化銥、釕、氧化釕、諸如TiSi 2、CoSi 2、NiSi之矽化物、石墨烯、碳奈米管、摻雜多晶矽、氧化銦錫、銀、摻鋁氧化鋅、鎵、砷化鎵、氧化銦鎵鋅、諸如AlCu及TiW之金屬合金及導電聚合物。 In some embodiments, the integrated circuit further includes a gate electrode pillar formed from at least one of tungsten, titanium nitride, tantalum nitride, nickel, molybdenum, platinum, palladium, cobalt, gold, aluminum, copper, eum, eum nitride, iridium, iridium oxide, ruthenium, ruthenium oxide, silicides such as TiSi2 , CoSi2, NiSi , graphene, carbon nanotubes, doped polysilicon, indium tin oxide, silver, aluminum-doped zinc oxide, gallium, gallium arsenide, indium gallium zinc oxide, metal alloys such as AlCu and TiW, and conductive polymers.

在一些實施例中,該第一垂直結構之該鐵電柱由以下之至少一者形成:鈣鈦礦、鋯鈦酸鉛(PZT)、鈦酸鋇(BaTiO 3)、鈦酸鍶(SrTiO 3)、鐵酸鉍(BiFeO 3)、鈮酸鉀(KNbO 3)、鈮酸鋰(LiNbO 3)、鉭酸鋰(LiTaO 3)、鈦酸鈉鉍(Na 0.5Bi 0.5TiO 3)、鈦酸鉍(Bi 4Ti 3O 12)、鈮酸鉍鋅(Bi(Zn 1/2Ti 1/2)O 3)、鈦酸鉍鑭(BiLaTiO 3)、鈦酸鉍鎳(BiNiTiO 3)、鈮酸鉛鎂-鈦酸鉛(PMN-PT)、鋯鈦酸鉛鑭(PLZT)、摻釹鈦酸鉍(Bi 4-xNd xTi 3O 12)、鉿基氧化物、氧化鉿(HfO 2)、摻雜氧化鉿、摻鋯氧化鉿(HfZrO 2)、鎢青銅結構材料、鈮酸鋇鍶(BSN)、鈮酸鉛鋇(PBN)、鉭鈮酸鉀(KTN)、鉍層結構化鐵電體、鈦酸鉍(Bi 4Ti 3O 12)、鉭酸鍶鉍(SBT)、鈮酸鈣鉍(CBN)、有機鐵電體、聚偏二氟乙烯(PVDF)、TrFE (三氟乙烯)、P(VDF-TrFE)共聚物、奧里維里斯相氧化物、稀土水錳礦、YMnO 3、經鑭改質之鋯鈦酸鉛(PLZT)、氧化鎳錳(NiMnO 3)、弛豫鐵電體、鈮酸鉛鎂(PMN)、鉭酸鉛鈧(PST)、鈮酸鉛銦(PIN)、多鐵性材料、亞錳酸鋱(TbMnO 3)、氧化銪鈦(EuTiO 3)、SbSI (碘化銻硫)、GeTe (碲化鍺)、SnTe (碲化錫)、薄膜鐵電體、PZT薄膜、SBT薄膜及HfO 2基薄膜、層狀超晶格及PbTiO 3/SrTiO 3In some embodiments, the ferroelectric pillar of the first vertical structure is formed of at least one of the following: calcium titanium ore, lead zirconium titanate (PZT), barium titanate (BaTiO 3 ), strontium titanate (SrTiO 3 ), bismuth ferrite (BiFeO 3 ), potassium niobate (KNbO 3 ), lithium niobate (LiNbO 3 ), lithium niobate (LiTaO 3 ), sodium bismuth titanate (Na 0.5 Bi 0.5 TiO 3 ), bismuth titanate (Bi 4 Ti 3 O 12 ), bismuth zinc niobate (Bi(Zn 1/2 Ti 1/2 )O 3 ), bismuth linium titanate (BiLaTiO 3 ) . ), nickel bismuth titanate (BiNiTiO 3 ), lead magnesium nibrate-lead titanate (PMN-PT), lead zinc zirconate titanate (PLZT), neodymium-doped bismuth titanate (Bi 4-x Nd x Ti 3 O 12 ), cobium-based oxides, cobium oxide (HfO 2 ), doped cobium oxide, zirconium-doped cobium oxide (HfZrO 2 ), tungsten-bronze structural materials, barium strontium nibrate (BSN), lead barium nibrate (PBN), potassium tantalum nibrate (KTN), bismuth layered ferroelectrics, bismuth titanate (Bi 4 Ti 3 O 12 ), strontium bismuth tantalum (SBT), calcium bismuth niobate (CBN), organic ferroelectrics, polyvinylidene fluoride (PVDF), TrFE (trifluoroethylene), P(VDF-TrFE) copolymer, oliveris phase oxide, rare earth hydromanganite, YMnO 3 , lead zirconium titanate modified with zinc (PLZT), nickel manganese oxide (NiMnO 3 ), relaxor ferroelectrics, lead magnesium niobate (PMN), lead niobium titanate (PST), lead indium niobate (PIN), multiferroic materials, zinc manganite (TbMnO 3 ), titania (EuTiO 3 ), SbSI (antimony sulfur iodide), GeTe (germanium telluride), SnTe (tin telluride), thin film ferroelectrics, PZT thin films, SBT thin films and HfO2 -based thin films, layered superlattices and PbTiO3 / SrTiO3 .

在一些實施例中,該第一垂直結構之該通道柱由以下之至少一者形成:氧化銦鎵鋅(IGZO)、氧化銦鋅(IZO)、氧化鋅錫(ZTO)、氧化鋁鋅(AZO)、氧化銦鎢(IWO)、氧化鎵鋅(GZO)、氧化鉿銦(HIO)、及氧化鎘(CdO)、多晶矽、聚鍺、硒化鎘(CdSe)、硒化銅銦鎵(CIGS)、結晶矽(c-矽)、結晶鍺(c-鍺)、砷化鎵(GaAs)、磷化銦(InP)、銻化銦(InSb)、碳化矽(SiC)、氮化鎵(GaN)、氧化鋅(ZnO)、並五苯、P3HT (聚(3-己基噻吩))、聚噻吩、聚伸苯基乙烯(PPV)、石墨烯、碳奈米管(CNT)、甲基銨鹵化鉛(CH 3NH 3PbX 3,X=Cl、Br、I)、鹵化銫鉛(CsPbX 3,X=Cl、Br、I)、硫化鉛(PbS)、硒化鉛(PbSe)、硒化鎘(CdSe)、砷化銦(InAs)、方鈷礦、RP相、包合物、鈣鈦礦氧化物或磁性半導體。 In some embodiments, the channel pillar of the first vertical structure is formed of at least one of: indium gallium zinc oxide (IGZO), indium zinc oxide (IZO), zinc tin oxide (ZTO), aluminum zinc oxide (AZO), indium tungsten oxide (IWO), gallium zinc oxide (GZO), indium indium oxide (HIO), and cadmium oxide (CdO), polycrystalline silicon, polygermanium, cadmium selenide (CdSe), copper indium gallium selenide (CIGS), crystalline silicon (c-Si), crystalline germanium (c-Ge), gallium arsenide (GaAs), indium phosphide (InP), indium sulfide (InSb), silicon carbide (SiC), gallium nitride (GaN), zinc oxide (ZnO), pentacene, P3HT (poly(3-hexylthiophene)), polythiophene, polyphenylene vinylene (PPV), graphene, carbon nanotubes (CNTs), methylammonium lead halide (CH 3 NH 3 PbX 3 , X=Cl, Br, I), cesium lead halide (CsPbX 3 , X=Cl, Br, I), lead sulfide (PbS), lead selenide (PbSe), cadmium selenide (CdSe), indium arsenide (InAs), cobalt, RP phase, inclusion compound, calcium titanium oxide, or magnetic semiconductor.

在一些實施例中,該第一垂直結構之該垂直插塞柱由以下之至少一者形成:氧化鉿(HfO 2)、氧化鋯(ZrO 2)、氧化鋁(Al 2O 3)、二氧化矽(SiO 2)、二氧化鈦(TiO 2)、氧化鉭(Ta 2O 5)、氧化鑭(La 2O 3)、氧化釔(Y 2O 3)、氮化矽(Si 3N 4)、氮化鋁(AlN)、碳化矽(SiC)、鈦酸鍶(SrTiO 3)、鈦酸鋇鍶(BST)、鋯鈦酸鉛(PZT)、鐵酸鉍(BiFeO 3)、氧化鎂(MgO)、氧化鈰(CeO 2)、氧化鎳(NiO)、氧化鈷(CoO)、氧化銅(CuO)、氧化錳(MnO)、氧化鋅(ZnO)、氧化釓(Gd 2O 3)、氧化鏑(Dy 2O 3)、氧化釤(Sm 2O 3)、氧化銪(Eu 2O 3)、氧化鋱(Tb 4O 7)、氧化釩(V 2O 5)、氧化鈮(Nb 2O 5)、氧化鉻(Cr 2O 3)、氧化鐵(Fe 2O 3)、氧化鉬(MoO 3)、氧化鎢(WO 3)、氧化釕(RuO 2)、氧化銠(Rh 2O 3)、氧化鈀(PdO)、氧化銀(Ag 2O)、氧化鎘(CdO)、氧化錫(SnO 2)、氧化銻(Sb 2O 3)、氧化碲(TeO 2)、氧化銥(IrO 2)、氧化鉑(PtO 2)、氧化金(Au 2O 3)、氧化鈹(BeO)、鋁酸鎂(MgAl 2O 4)、硒化鋅(ZnSe)、碲化鋅(ZnTe)、硫化鎘(CdS)、硒化鎘(CdSe)、碲化鎘(CdTe)、氧化矽鍺(SiGeO x)、氧化鉍(Bi 2O 3)、鈦酸鉍(Bi 4Ti 3O 12)、鈣鈦礦氧化物、層狀氧化物或複合過渡金屬氧化物。 In some embodiments, the vertical plug of the first vertical structure is formed of at least one of the following: ferrite (HfO 2 ), zirconium oxide (ZrO 2 ), aluminum oxide (Al 2 O 3 ), silicon dioxide (SiO 2 ), titanium dioxide (TiO 2 ), tantalum oxide (Ta 2 O 5 ), lumen oxide (La 2 O 3 ), yttrium oxide (Y 2 O 3 ), silicon nitride (Si 3 N 4 ), aluminum nitride (AlN), silicon carbide (SiC), strontium titanate (SrTiO 3 ), barium strontium titanate (BST), lead zirconium titanate (PZT), bismuth ferrite (BiFeO 3 ), magnesium oxide (MgO), calcite oxide (CeO 2 ), nickel oxide (NiO), cobalt oxide (CoO), copper oxide (CuO), manganese oxide (MnO), zinc oxide (ZnO), gadolinium oxide (Gd 2 O 3 ), daphnia (Dy 2 O 3 ), sulphur oxide (Sm 2 O 3 ), chalcanthus oxide (Eu 2 O 3 ), zirconium oxide (Tb 4 O 7 ), vanadium oxide (V 2 O 5 ), niobium oxide (Nb 2 O 5 ), chromium oxide (Cr 2 O 3 ), iron oxide (Fe 2 O 3 ), molybdenum oxide (MoO 3 ), tungsten oxide (WO 3 ), ruthenium oxide (RuO 2 ), rhodium oxide (Rh 2 O 3 ), palladium oxide (PdO), silver oxide (Ag 2 O), cadmium oxide (CdO), tin oxide (SnO 2 ), antimony oxide (Sb 2 O 3 ), tellurium oxide (TeO 2 ), iridium oxide (IrO 2 ), platinum oxide (PtO 2 ), gold oxide (Au 2 O 3 ), beryllium oxide (BeO), magnesium aluminate (MgAl 2 O 4 ), zinc selenide (ZnSe), zinc telluride (ZnTe), cadmium sulfide (CdS), cadmium selenide (CdSe), cadmium telluride (CdTe), silicon germanium oxide (SiGeO x ), bismuth oxide (Bi 2 O 3 ), bismuth titanium oxide (Bi 4 Ti 3 O 12 ), calcium-titanium oxide, layered oxide, or complex transition metal oxide.

在一些實施例中,該積體電路可包括根據本文中所描述之電晶體之任何者之由水平閘極電極層之一者及第一垂直結構形成之複數個電晶體。該第一垂直結構可包含諸如一垂直插塞柱、源極及汲極電極柱、一通道柱及一鐵電柱之組件。該等水平閘極電極層可安置成彼此相距預定距離且相鄰於該鐵電柱。依此方式組態一水平閘極電極層及該第一垂直結構允許形成具有諸如本文中所描述之性質之電晶體。In some embodiments, the integrated circuit can include a plurality of transistors formed from one of the horizontal gate electrode layers and a first vertical structure according to any of the transistors described herein. The first vertical structure can include components such as a vertical plug pillar, source and drain electrode pillars, a channel pillar, and a ferroelectric pillar. The horizontal gate electrode layers can be positioned a predetermined distance apart from each other and adjacent to the ferroelectric pillar. Configuring a horizontal gate electrode layer and the first vertical structure in this manner allows for the formation of transistors having the properties described herein.

圖1展示根據本發明之一實施例之可封裝為一可接合小晶片(例如可接合之面對面小晶片)之一積體電路100之一方塊圖。積體電路(IC) 100包含由模組108、110、112及114組成之一模組群組106。IC 100亦具有一共用寫入埠102,其經組態以使用一寫入周邊裝置104寫入至模組群組106。另外,其包含經組態以自模組108、110、112、114讀取之讀取周邊裝置116、118、120及122及讀取埠124、126、128及130。FIG1 shows a block diagram of an integrated circuit 100 that can be packaged as a bondable chiplet (e.g., a bondable face-to-face chiplet) according to one embodiment of the present invention. Integrated circuit (IC) 100 includes a module group 106 consisting of modules 108, 110, 112, and 114. IC 100 also has a common write port 102 that is configured to be written to module group 106 using a write peripheral 104. Additionally, it includes read peripherals 116, 118, 120, and 122 and read ports 124, 126, 128, and 130 that are configured to read from modules 108, 110, 112, and 114.

寫入埠102可經組態以向整個模組群組106提供一單一寫入位址空間,其中模組108、110、112、114之各者分別具有一專用讀取埠124、126、128、130。積體電路100可封裝為經組態以電連接至另一積體電路裝置(例如另一小晶片或IC封裝,具有或不具有電接點、電凸塊等)之一小晶片之部分。小晶片可電連接至另一裝置(包含(例如)藉由接合、焊接、晶圓至晶圓接合、面對面小晶片接合、小晶片至晶圓接合、小晶片至中介層接合)及/或可用一中介層或其他介接技術連接在一起。可使用零個、一個或多個中介層,或可利用由異質3D系統級封裝解決方案共有之其他介接技術來將一小晶片電連接至另一裝置。Write port 102 can be configured to provide a single write address space for the entire module group 106, with each of modules 108, 110, 112, 114 having a dedicated read port 124, 126, 128, 130, respectively. Integrated circuit 100 can be packaged as part of a die that is configured to be electrically connected to another integrated circuit device (e.g., another die or IC package, with or without electrical contacts, bumps, etc.). The die can be electrically connected to another device (including, for example, by bonding, soldering, wafer-to-wafer bonding, face-to-face die bonding, die-to-wafer bonding, die-to-interposer bonding) and/or can be connected together using an interposer or other interfacing technology. Zero, one, or more interposers may be used, or other interfacing technologies common to heterogeneous 3D system-level packaging solutions may be utilized to electrically connect a die to another device.

小晶片中之各讀取埠(124、126、128、130)可在小晶片之一側上或小晶片之多個側上具有電接點。讀取埠124、126、128、130可使用多循環管線式電路系統。在接合至另一裝置(例如晶圓、小晶片、晶片、SOC、封裝、FPGA等)時,電接點可依提供對模組108、110、112、114之特定模組之專用存取之一方式排成一行。例如,一處理/計算元件可經由讀取埠124 (其可含有一暫存器檔案中之神經網路權重)對模組108進行排他存取。類似地,一不同處理/計算元件可經由讀取埠126 (其包含一不同暫存器檔案)對模組110進行排他讀取存取。在此特定實施例中,電接點之此配置確保各計算/處理元件具有高效執行其特定計算所需之專用存取,藉此提供允許不同處理元件維持對特定模組108、110、112、114之專用存取之一緊湊、模組化且可擴展系統。若無專用存取,則不同處理元件可能必須排隊使用相同資源,其將減慢總體處理速度。藉由提供專用存取,在此特定實施例中,所提出之小晶片確保各處理元件可以其最大能力操作且不受其他計算元件干擾。Each read port (124, 126, 128, 130) in the chiplet can have electrical contacts on one side of the chiplet or on multiple sides of the chiplet. Read ports 124, 126, 128, 130 can use multi-loop pipelined circuitry. When bonded to another device (e.g., a wafer, chiplet, chip, SOC, package, FPGA, etc.), the electrical contacts can be aligned in a manner that provides dedicated access to a specific module of modules 108, 110, 112, 114. For example, a processing/computing element can have exclusive access to module 108 via read port 124 (which can contain neural network weights in a register file). Similarly, a different processing/computing element can have exclusive read access to module 110 via read port 126 (which contains a different register file). In this particular embodiment, this configuration of electrical contacts ensures that each computing/processing element has the dedicated access required to efficiently perform its specific computation, thereby providing a compact, modular, and scalable system that allows different processing elements to maintain dedicated access to specific modules 108, 110, 112, 114. Without dedicated access, different processing elements may have to queue for use of the same resources, which would slow down overall processing speed. By providing dedicated access, in this particular embodiment, the proposed chiplet ensures that each processing element can operate at its maximum capacity without interference from other computing elements.

寫入周邊裝置104係負責處理及將資料寫入至在模組108、110、112、114內發現之記憶體格中之一周邊電路系統。寫入周邊裝置104可包含專用接點,使得一晶片電連接(例如接合)至積體電路之一小晶片,使得可經由一共用寫入邏輯系統存取寫入埠102,共用寫入邏輯系統涉及利用具有一共用寫入位址及資料組件之一基於移位暫存器之不同電壓設計,較佳為高電壓設計。此共用寫入邏輯系統經設計以經由一接合晶片、另一接合小晶片及/或經由相同於積體電路100之封裝中之其他電路系統存取。一移位暫存器可允許系統將資料移動通過一系列級,且各後一級自前一級接收資料。藉由利用一移位暫存器,系統可增加資料通量,同時維持一低資料傳送速率。共用寫入位址空間係指資料寫入小晶片中之位置。Write peripheral 104 is a peripheral circuitry responsible for processing and writing data to the memory cells found within modules 108, 110, 112, and 114. Write peripheral 104 may include dedicated contacts that allow a chip to be electrically connected (e.g., bonded) to a dielet of the integrated circuit, making write port 102 accessible via a shared write logic system that utilizes a shift register-based, differential voltage design, preferably a high voltage design, with shared write address and data components. This shared write logic system is designed to be accessed via a bonded chip, another bonded dielet, and/or other circuitry within the same package as integrated circuit 100. A shift register allows the system to shift data through a series of stages, with each subsequent stage receiving data from the previous stage. By utilizing a shift register, the system can increase data throughput while maintaining a low data transfer rate. The shared write address space refers to the location in the chiplet where data is written.

在另一實施例中,一聯鎖132可在資料經由寫入埠102寫入至模組群組106時停用讀取埠124、126、128、130。同樣地,聯鎖132可在讀取操作在讀取埠124、126、128、130上執行時停用寫入埠102。寫入資料可稍後由需要經由讀取埠124、126、128、130之一各自者讀取資料之所有處理元件同時存取。此確保所有處理元件具有其可用之最常用資料,不管由其他處理元件同時執行之其他讀取如何。In another embodiment, an interlock 132 can disable read ports 124, 126, 128, and 130 while data is being written to module group 106 via write port 102. Similarly, interlock 132 can disable write port 102 while a read operation is being performed on read ports 124, 126, 128, and 130. The written data can then be accessed simultaneously by all processing elements that need to read data via each of read ports 124, 126, 128, and 130. This ensures that all processing elements have their most current data available, regardless of other reads being performed simultaneously by other processing elements.

寫入周邊裝置104電路包含一寫入驅動器。此單元接收待寫入之資料且將其轉換成可改變記憶體格之狀態之適合信號。取決於所使用之記憶體技術之類型,此等信號可涉及電壓位準、電流脈衝或其他類型之能量。歸因於小晶片之特定電壓要求,共用寫入邏輯系統可為高電壓。寫入驅動器必須提供足夠功率以可靠地改變記憶體格之狀態,但其亦必須在適合參數內操作以避免引起損壞或不必要磨損。The write peripherals 104 circuitry includes a write driver. This unit receives the data to be written and converts it into suitable signals that can change the state of the memory cell. Depending on the type of memory technology used, these signals may involve voltage levels, current pulses, or other types of energy. Due to the specific voltage requirements of small chips, the shared write logic system can be high voltage. The write driver must provide enough power to reliably change the state of the memory cell, but it must also operate within suitable parameters to avoid causing damage or unnecessary wear.

寫入周邊裝置104電路亦可具有一資料緩衝器或寫入緩衝器。此組件暫時儲存待寫入之資料以允許寫入操作依一最佳步調執行。藉由平衡傳入資料之速度與記憶體格可被寫入之速度,寫入緩衝器幫助防止資料損失且最佳化系統效能。The write peripheral 104 circuitry may also include a data buffer or write buffer. This component temporarily stores data to be written to allow write operations to proceed at an optimal pace. By balancing the speed of incoming data with the speed at which the memory can be written, the write buffer helps prevent data loss and optimize system performance.

在一些實施例中,寫入周邊裝置104亦可包含編排寫入程序中之操作之序列之一寫入控制單元。其產生控制信號以在適當時間啟動寫入驅動器,控制來自寫入緩衝器之資料之流量,且協調寫入操作之時序。藉由使此等各種活動同步,寫入控制單元確保高效且可靠寫入操作。In some embodiments, the write peripheral 104 may also include a write control unit that orchestrates the sequence of operations in the write process. It generates control signals to activate the write driver at the appropriate time, controls the flow of data from the write buffer, and coordinates the timing of write operations. By synchronizing these various activities, the write control unit ensures efficient and reliable write operations.

寫入周邊裝置104亦可包含用於提高可靠性及資料完整性之資料編碼機構。例如,在資料寫入至記憶體格之前,此等機構依允許偵測潛在錯誤且在一些情況中在稍後讀取資料時校正潛在錯誤之一方式編碼資料。此在其中資料完整性具有一較高優先級之系統中(諸如在伺服器或科學研究裝置中)可為有幫助的。The write peripheral device 104 may also include data encoding mechanisms to improve reliability and data integrity. For example, before writing data to the memory, these mechanisms encode the data in a way that allows potential errors to be detected and, in some cases, corrected when the data is later read. This can be helpful in systems where data integrity is a high priority, such as in servers or scientific research equipment.

寫入周邊裝置104亦可包含充當系統之心跳之一時序單元以供應使系統之各種組件之操作同步之時脈信號。在一些系統中,其可包含如振盪器、時脈產生器或鎖相迴路之組件。時序單元可確保所有操作在相對於彼此之適合時間發生。The write peripheral device 104 may also include a timing unit that acts as the heartbeat of the system, providing a clock signal that synchronizes the operation of various components of the system. In some systems, this may include components such as an oscillator, a clock generator, or a phase-locked loop. The timing unit ensures that all operations occur at the appropriate time relative to each other.

IC 100可實施為一面對面接合小晶片,且模組108、110、112及114由一非揮發性記憶體形成。在一些特定實施例中,IC 100亦可具有基於模組群組106之使用來將記憶體區塊分配給模組群組106之一動態分配電路系統(例如,各模組108可包含用於給一各自處理元件動態分配一定範圍之讀取位置之動態分配電路系統)。IC 100 may be implemented as a face-to-face bonded die, with modules 108, 110, 112, and 114 formed from a non-volatile memory. In some specific embodiments, IC 100 may also include a dynamic allocation circuitry for allocating memory blocks to module group 106 based on usage of module group 106 (e.g., each module 108 may include dynamic allocation circuitry for dynamically allocating a range of read locations to a respective processing element).

IC 100具有複數個時脈,且複數個時脈之各時脈饋送至複數個模組之一各自模組以使每一各自模組相對於複數個模組之其他模組具有解耦時序。模組群組106可依相關領域之一般技術者已知之任何拓撲配置。位元格密度可比模組群組106中之嵌入式SRAM格更密集高達10倍。IC 100 has a plurality of clocks, and each of the plurality of clocks is fed to a respective one of the plurality of modules so that each respective module has decoupled timing relative to the other modules. Module group 106 can be configured in any topology known to those skilled in the art. The bit cell density can be up to 10 times denser than the embedded SRAM cells in module group 106.

IC 100可形成於包含一第一側及一第二側之一小晶片上,且第二側經組態用於接合至一第二半導體裝置。IC 100可包含相鄰於小晶片之第一側之一高電壓寫入邏輯。一解碼器電路系統、一驅動器電路系統及一暫存器電路系統可形成於小晶片之矽基板部分上,而模組群組106形成於小晶片之一第二層部分上。第二半導體裝置可包括複數個處理元件。各處理元件包含用於在第二半導體裝置接合至小晶片時與模組群組106上之複數個模組之一各自模組通信之一各自介面。IC 100 may be formed on a chiplet comprising a first side and a second side, with the second side configured for bonding to a second semiconductor device. IC 100 may include high voltage write logic adjacent to the first side of the chiplet. A decoder circuitry, a driver circuitry, and a register circuitry may be formed on a silicon substrate portion of the chiplet, with module group 106 formed on a second layer portion of the chiplet. The second semiconductor device may include a plurality of processing elements. Each processing element includes a respective interface for communicating with a respective one of the plurality of modules on module group 106 when the second semiconductor device is bonded to the chiplet.

矽基板通常充當IC製造之初始級以聚焦於主動組件(特別是電晶體)之創建。如擴散、離子植入、氧化及材料沈積之技術用於製作電晶體之複雜結構。此等程序小規模操作。光微影、蝕刻及植入技術之應用能夠精確界定電晶體結構。矽基板之重要性在於其能夠建立IC內之信號處理、放大及控制所需之基本構建區塊。此層有時稱為前段製程(「FEOL」)。Silicon substrates typically serve as the initial stage of IC fabrication, focusing on the creation of active components, particularly transistors. Techniques such as diffusion, ion implantation, oxidation, and material deposition are used to create the complex structures of transistors. These processes operate on a small scale. The application of photolithography, etching, and implantation techniques enables the precise definition of transistor structures. The importance of the silicon substrate lies in its ability to establish the basic building blocks required for signal processing, amplification, and control within the IC. This layer is sometimes referred to as the front-end of the line (FEOL).

接著在製程中,可添加通常承擔互連件製造之角色之一第二層以促進各種IC組件之間的電連接。此階段通常聚焦於被動組件(包含互連件、通路及金屬-絕緣體-金屬(MIM)電容器)之創建。第二層程序通常在精確度及規模上不同於用於矽基板上之程序。互連件藉由沈積及圖案化金屬層(通常為鋁或銅)來形成以建構佈線網路。引入介電層(諸如二氧化矽或低k介電質)以使互連件絕緣且防止不同佈線層之間的信號干擾。第二層之傳統功能係建立實現電信號在整個IC中之路由及分佈之必要互連。然而,如本文中所描述,可在此第二層內利用電路系統(有時指稱後段製程(「BEOL」))。Next in the process, a second layer can be added, which typically plays the role of interconnect fabrication to facilitate electrical connections between the various IC components. This stage typically focuses on the creation of passive components, including interconnects, vias, and metal-insulator-metal (MIM) capacitors. The second layer processes typically differ in precision and scale from those used on silicon substrates. Interconnects are formed by depositing and patterning metal layers (usually aluminum or copper) to build the wiring network. Dielectric layers (such as silicon dioxide or low-k dielectrics) are introduced to insulate the interconnects and prevent signal interference between different wiring layers. The traditional function of the second layer is to create the necessary interconnects to enable the routing and distribution of electrical signals throughout the IC. However, as described herein, circuitry may be utilized within this second level (sometimes referred to as the back end of the line ("BEOL")).

IC 100之替代實施例可實施為一堆疊晶粒、一單片設計、TSV或穿矽通路。在一堆疊晶粒設計中,若干晶粒可彼此上下堆疊,且各晶粒執行不同功能,諸如記憶及處理。堆疊晶粒可透過線接合、微凸塊或無凸塊接合通信。在一單片設計中,IC 100之各種功能及模組可整合至一單一晶粒上以形成一更緊湊且節能設計。Alternative embodiments of IC 100 can be implemented as a stacked die, a monolithic design, TSVs, or through-silicon vias. In a stacked die design, several dies can be stacked on top of each other, with each die performing different functions, such as memory and processing. The stacked dies can communicate via wire bonds, microbumps, or bumpless bonding. In a monolithic design, the various functions and modules of IC 100 can be integrated onto a single die, creating a more compact and power-efficient design.

另外,IC 100可包含用於管理讀取及寫入資料之衝突之一或多個聯鎖132。模組群組106可由各種非揮發性或半揮發性(例如非常長再新週期)記憶體技術(諸如靜態隨機存取記憶體(SRAM)、鐵電場效電晶體(FeFET)、鐵電隨機存取記憶體(FeRAM)、電阻性隨機存取記憶體(ReRAM)、自旋軌道矩(SOT)記憶體、自旋轉移矩(STT)記憶體、電荷陷阱、浮動閘極記憶體及/或肖特基二極體)形成。In addition, IC 100 may include one or more interlocks 132 for managing conflicts when reading and writing data. Module group 106 may be formed from various non-volatile or semi-volatile (e.g., very long refresh cycle) memory technologies such as static random access memory (SRAM), ferroelectric field effect transistor (FeFET), ferroelectric random access memory (FeRAM), resistive random access memory (ReRAM), spin-orbit torque (SOT) memory, spin transfer torque (STT) memory, charge trap, floating gate memory, and/or Schottky diode.

模組群組106可利用一靜態隨機存取記憶體(SRAM)拓撲。SRAM拓撲可採用一交叉耦合正反器結構(例如鎖存正反器)來確保只要供應功率,則儲存資料保持完好。因此,在一些特定實施例中,模組群組106可利用異質類型之記憶體,包含揮發性及非揮發性記憶體類型。Module group 106 may utilize a static random access memory (SRAM) topology. SRAM topologies employ a cross-coupled flip-flop structure (e.g., latched flip-flops) to ensure that stored data remains intact as long as power is supplied. Therefore, in certain embodiments, module group 106 may utilize heterogeneous memory types, including volatile and non-volatile memory types.

模組群組106可利用一快閃記憶體拓撲。快閃記憶體係用於其中需要資料持久性之應用中之一非揮發性記憶體技術,諸如固態硬碟(SSD)及USB快閃驅動器。本文中所揭示之快閃記憶體拓撲具有一記憶體格矩陣,各由一浮動閘極電晶體或電荷陷阱裝置組成。模組群組106亦可使用損耗均衡技術來延長記憶體格之壽命。Module group 106 can utilize a flash memory topology. Flash memory is a non-volatile memory technology used in applications requiring data persistence, such as solid-state drives (SSDs) and USB flash drives. The flash memory topology disclosed herein has a matrix of memory cells, each consisting of a floating-gate transistor or a charge-trapping device. Module group 106 can also utilize wear-leveling techniques to extend the life of the memory cells.

模組群組106可利用一鐵電隨機存取記憶體(FeRAM)拓撲。FeRAM拓撲利用能夠保持極化狀態之一鐵電材料。在特定實施例中,一個此記憶體拓撲可利用一FeFET來保持狀態資訊且程式化鐵電材料。此等鐵電材料可用於保持狀態資訊且充當一記憶體位元格。Module group 106 may utilize a ferroelectric random access memory (FeRAM) topology. FeRAM utilizes a ferroelectric material capable of maintaining a polarization state. In a specific embodiment, such a memory topology may utilize a FeFET to maintain state information and program the ferroelectric material. These ferroelectric materials can be used to maintain state information and function as a memory bit cell.

模組群組106可利用一相變記憶體(PCM)拓撲,其係利用可逆材料相變來儲存資料之一非揮發性記憶體技術。PCM拓撲可包含任何相變材料,例如收容於一記憶體格內之硫屬化物合金或硫屬化物玻璃。Module group 106 may utilize a phase change memory (PCM) topology, which is a non-volatile memory technology that uses reversible material phase changes to store data. A PCM topology may include any phase change material, such as a chalcogenide alloy or chalcogenide glass, housed in a memory cell.

模組群組106可利用一電阻性隨機存取記憶體(ReRAM)拓撲,其係基於電阻性切換現象之一非揮發性記憶體技術。ReRAM拓撲可利用在施加電刺激時展現可逆電阻變化之一薄膜材料。Module group 106 may utilize a resistive random access memory (ReRAM) topology, which is a non-volatile memory technology based on the phenomenon of resistive switching. ReRAM topology utilizes a thin film material that exhibits a reversible resistance change when an electrical stimulus is applied.

模組群組106可利用一自旋軌道矩(SOT)磁性隨機存取記憶體拓撲。SOT-MRAM係利用自旋軌道矩來切換一儲存元件之磁性狀態之一類型之非揮發性記憶體。SOT-MRAM拓撲可併入一磁穿隧接面(MTJ)結構且利用自旋軌道耦合效應來寫入及讀取資料。磁穿隧接面可具有一磁性固定層與一磁性自由層之間的一介電層。寫入可藉由將一平面內電流注入一相鄰SOT層中以切換自由磁性層之磁化來完成。讀取可藉由使電流進入磁穿隧接面中來完成。在一些特定實施例中,SOT-MRAM可藉由使用電流驅動之切換方案同時最小化寫入能耗來最佳化自旋軌道材料。Module group 106 may utilize a spin-orbit moment (SOT) magnetic random access memory (MRAM) topology. SOT-MRAM is a type of non-volatile memory that uses spin-orbit moment to switch the magnetic state of a storage element. The SOT-MRAM topology may incorporate a magnetic tunneling junction (MTJ) structure and utilize spin-orbit coupling to write and read data. The MTJ may have a dielectric layer between a magnetic fixed layer and a magnetic free layer. Writing can be accomplished by injecting an in-plane current into an adjacent SOT layer to switch the magnetization of the free magnetic layer. Reading can be accomplished by passing a current into the MTJ. In some specific embodiments, SOT-MRAM can optimize spin-orbit materials by using a current-driven switching scheme while minimizing write energy consumption.

模組群組106可利用一自旋轉移矩(STT)磁性隨機存取記憶體拓撲。STT-MRAM係依賴自旋轉移矩來操縱一儲存元件之磁性狀態之另一類型之非揮發性記憶體。STT-MRAM拓撲可使用一磁穿隧接面(MTJ)結構,其中磁化定向判定儲存資料。另外,一磁穿隧接面或自旋閥中之一磁性層之定向可使用(例如)一自旋極化電流來改變。Module group 106 may utilize a spin-transfer torque (STT) magnetic random access memory (MRAM) topology. STT-MRAM is another type of non-volatile memory that relies on spin-transfer torque to manipulate the magnetic state of a storage element. STT-MRAM topology may use a magnetic tunneling junction (MTJ) structure, in which the magnetization orientation determines the stored data. Alternatively, the orientation of a magnetic layer in a MTJ or spin valve can be altered using, for example, a spin-polarized current.

IC 100可包含具有一專用時脈之一單一寫入周邊裝置104,或各模組108、110、112、114可自身具有利用一共用時脈之專用寫入周邊裝置(圖1中未展示)。另外,模組群組106可組織成單獨分區,各含具有一獨立時脈之一專用讀取周邊裝置116、118、120、122。IC 100 may include a single write peripheral 104 with a dedicated clock, or each module 108, 110, 112, 114 may have its own dedicated write peripheral (not shown in FIG1 ) utilizing a common clock. Additionally, module group 106 may be organized into separate partitions, each containing a dedicated read peripheral 116, 118, 120, 122 with an independent clock.

IC 100之另一可行實施例包含用於實現IC 100之封裝外之資料傳送之一介面(例如相同、不同、更高或更低電壓)。在額外特定實施例中,IC 100亦可包含用於處理IC內之資料之一積體微控制器單元(MCU)或一數位信號處理器(DSP)。Another possible embodiment of IC 100 includes an interface (e.g., the same, different, higher, or lower voltage) for implementing data transfer outside the package of IC 100. In other specific embodiments, IC 100 may also include an integrated microcontroller unit (MCU) or a digital signal processor (DSP) for processing data within the IC.

圖2展示根據本發明之一實施例之在接合至一第二裝置226之一小晶片230上實施之圖1之積體電路212之一總成200之一透視圖。積體電路212係小晶片230內之電路系統。第二裝置226可為一小晶片、半導體晶圓、半導體封裝、包裝電路系統等。例如,第二裝置226可為一AI加速器,使得各處理單元可對模組群組236之一個模組(或一預定組)進行讀取存取。在又一實施例中,第二裝置226可為一網路控制器,其中存在一卸載電路以自模組之各者讀取資料以處理傳入/傳出封包等。總成200包含具有複數個模組(包含一第一模組232及一第二模組234)之一模組群組236。圖2展示若干模組,然而,為清楚起見,僅模組232、234具有元件符號。積體電路212進一步包括一共用寫入埠222。共用寫入埠222介接至寫入周邊裝置202中。FIG2 shows a perspective view of an assembly 200 of the integrated circuit 212 of FIG1 implemented on a chiplet 230 bonded to a second device 226 according to an embodiment of the present invention. The integrated circuit 212 is the circuit system within the chiplet 230. The second device 226 can be a chiplet, a semiconductor wafer, a semiconductor package, a packaged circuit system, etc. For example, the second device 226 can be an AI accelerator so that each processing unit can read and access one module (or a predetermined group) of the module group 236. In another embodiment, the second device 226 can be a network controller in which an offload circuit is present to read data from each of the modules to process incoming/outgoing packets, etc. Assembly 200 includes a module group 236 having a plurality of modules, including a first module 232 and a second module 234. FIG. 2 shows several modules, however, for clarity, only modules 232 and 234 are labeled. Integrated circuit 212 further includes a shared write port 222. Shared write port 222 interfaces to write peripheral device 202.

儘管第二裝置226可經由具有一時脈及一啟用信號之一位址及資料匯流排使用共用寫入埠222以將資料寫入至模組群組236內之任何模組,但可考量寫入資料之其他方式。例如,可使用串列連接、並行連接、各種匯流排或埠,諸如一DDR (雙倍資料速率)介面、一SRAM (靜態隨機存取記憶體)介面、一NAND快閃記憶體介面、一NOR快閃記憶體介面、一HBM (高頻寬記憶體)介面、一GDDR (圖形雙倍資料速率)介面、一NVMe (非揮發性記憶體快速)介面、SPI、IC2等。模組之各者具有含一讀取位址218 (將一位址發送至一模組234)及讀取資料220 (其係自模組232讀取之資料)之一讀取埠。Although the second device 226 can use the common write port 222 to write data to any module in the module group 236 via an address and data bus with a clock and an enable signal, other methods of writing data are contemplated. For example, a serial connection, a parallel connection, various buses or ports such as a DDR (double data rate) interface, an SRAM (static random access memory) interface, a NAND flash memory interface, a NOR flash memory interface, an HBM (high bandwidth memory) interface, a GDDR (graphics double data rate) interface, an NVMe (non-volatile memory express) interface, SPI, IC2, etc. can be used. Each of the modules has a read port including a read address 218 (which sends an address to a module 234) and read data 220 (which is data read from module 232).

模組群組236形成於一小晶片230上,小晶片230具有包含可接合至一第二裝置226且與第二裝置226互補之一表面228之兩側。小晶片230可藉由在一矽基板204上形成電路系統且接著添加一第二層206來形成。在其他實施例中,此等層可倒轉及/或可添加、移除等其他層。讀取位址218及讀取資料220用於讀取模組232。Module group 236 is formed on a chiplet 230 having two sides including a surface 228 that can be bonded to and complementary to a second device 226. Chiplet 230 can be formed by forming circuitry on a silicon substrate 204 and then adding a second layer 206. In other embodiments, these layers can be reversed and/or other layers can be added or removed. Read address 218 and read data 220 are used to read module 232.

儘管第二裝置226可使用具有一時脈及一啟用信號之一位址及資料匯流排自模組232讀取資料,但可考量讀取資料之其他方式。例如,可使用串列連接、並行連接、各種匯流排或埠,諸如一DDR (雙倍資料速率)介面、一SRAM (靜態隨機存取記憶體)介面、一NAND快閃記憶體介面、一NOR快閃記憶體介面、一HBM (高頻寬記憶體)介面、一GDDR (圖形雙倍資料速率)介面、一NVMe (非揮發性記憶體快速)介面、SPI、IC2等。Although the second device 226 can read data from the module 232 using an address and data bus with a clock and an enable signal, other methods of reading data are contemplated. For example, a serial connection, a parallel connection, various buses or ports, such as a DDR (double data rate) interface, an SRAM (static random access memory) interface, a NAND flash memory interface, a NOR flash memory interface, an HBM (high bandwidth memory) interface, a GDDR (graphics double data rate) interface, an NVMe (non-volatile memory express) interface, SPI, IC2, etc. can be used.

所有讀取埠(例如218及222)經組態以在一寫入操作施加於共用寫入埠222時不活動。讀取埠亦可經組態以彼此同時處理讀取。共用寫入埠222經組態以寫入至一位址空間,其中共用寫入埠222經組態以經由位址空間之一第一部分寫入至第一模組232且經由位址空間之一第二部分234寫入至第二模組234。複數個模組236之各模組包含用於經由複數個模組之任何者之一各自獨立讀取埠同時讀取之一獨立讀取埠。All read ports (e.g., 218 and 222) are configured to be inactive when a write operation is applied to the shared write port 222. The read ports can also be configured to process reads simultaneously with each other. The shared write port 222 is configured to write to an address space, wherein the shared write port 222 is configured to write to the first module 232 via a first portion of the address space and to the second module 234 via a second portion 234 of the address space. Each module of the plurality of modules 236 includes an independent read port for simultaneously reading via a respective independent read port of any of the plurality of modules.

一各自模組之各讀取埠可包含用於在第二裝置226內發現之電路系統之接點以經由金屬接點介接。因此,頂層208上可存在金屬接點,其等經組態以與小晶片230之表面228上之金屬接點介接,使得金屬接點允許與模組236之一模組之一讀取空間共延伸之一讀取空間。模組群組236之讀取空間可全部彼此共延伸(如參考圖3及圖4所描述)。Each read port of a respective module may include contacts for interfacing with circuitry found within second device 226 via metal contacts. Thus, metal contacts may be present on top layer 208 that are configured to interface with metal contacts on surface 228 of chiplet 230, such that the metal contacts allow for a read volume that is coextensive with a read volume of one of modules 236. The read volumes of module group 236 may all be coextensive with one another (as described with reference to FIG3 and FIG4 ).

在一個實施例中,第一模組232之讀取周邊裝置在一矽基板上實施(有時指稱一前段製程)。第二層206 (有時稱為後段製程)可接著在製程中在矽基板204 (及任何電路系統)之頂部上構建且可含有各自記憶體位元格。在一替代實施例中,第一模組232之讀取周邊裝置在第二層206中實施且安置於模組群組236與小晶片230之表面228之間。In one embodiment, the read peripherals of the first module 232 are implemented on a silicon substrate (sometimes referred to as a front-end of the line). The second layer 206 (sometimes referred to as the back-end of the line) can then be constructed later in the process on top of the silicon substrate 204 (and any circuitry) and can contain the respective memory bit cells. In an alternative embodiment, the read peripherals of the first module 232 are implemented in the second layer 206 and positioned between the module group 236 and the surface 228 of the chiplet 230.

模組群組236可經組態以僅在重置期間處理寫入命令。寫入命令可為「慢寫入」命令。即,模組群組236可相對於其讀取速度具有非常低寫入速度。寫入邏輯可在模組群組236用於讀取資料時凍結(或停用)。在一些特定實施例中,積體電路212提供基於模組群組236之使用來將記憶體區塊分配給模組群組236之功能性。在其他實施例中,記憶體位址與分配一起固定。積體電路212可實施為一面對面接合小晶片230。面對面接合可為無凸塊晶圓接合。Module group 236 can be configured to process write commands only during reset. The write command can be a "slow write" command. That is, module group 236 can have a very slow write speed relative to its read speed. The write logic can be frozen (or disabled) when module group 236 is used to read data. In some specific embodiments, integrated circuit 212 provides functionality for allocating memory blocks to module group 236 based on the use of module group 236. In other embodiments, the memory address is fixed along with the allocation. Integrated circuit 212 can be implemented as a face-to-face bonded chiplet 230. The face-to-face bonding can be a bumpless wafer bond.

模組群組236可具有一單一寫入周邊裝置202。在其他實施例中,模組群組236之各模組可具有利用一共用時脈之一專用寫入周邊裝置。在其他實施例中,模組群組236亦可組織成各含具有一專用讀取周邊裝置之分區之單獨分區,其中各專用讀取周邊裝置具有一獨立時脈。分區可為模組群組236之一個、兩個或更多個模組。Module group 236 may have a single write peripheral 202. In other embodiments, each module in module group 236 may have a dedicated write peripheral that utilizes a common clock. In other embodiments, module group 236 may also be organized into separate partitions, each containing a partition with a dedicated read peripheral, where each dedicated read peripheral has an independent clock. A partition may be one, two, or more modules in module group 236.

寫入周邊裝置202電路系統之整體架構可包含一系列不同組件,包含寫入驅動器、位址解碼器、感測放大器、資料輸入鎖存器、資料匯流排等及/或其等之某一組合。寫入驅動器或寫入緩衝器可負責將資料傳送至記憶體格上。其等可增強輸入信號以達到適合於記憶體格之一位準。位址解碼器可用於解譯在需要寫入資料時作為一輸入饋送之記憶體位址。藉由啟動鏈接至該位址之記憶體陣列之特定列及行,其等可用於選擇目標記憶體格。感測放大器可用於在讀取操作期間識別及加強來自記憶體格之信號,亦參與在寫入操作中之資料寫入之後再新記憶體格。寫入操作由一寫入啟用信號策動。當發起一寫入命令時,此信號推動寫入驅動器及解碼器進入寫入程序。資料輸入鎖存器可用作暫時性儲存單元以留存待寫入至記憶體中之資料組直至寫入操作實施。具有一傳輸路線之一資料匯流排可用於促進資料自資料輸入鎖存器移動至記憶體格。The overall architecture of the write peripheral device 202 circuit system can include a series of different components, including a write driver, an address decoder, a sense amplifier, a data input latch, a data bus, etc. and/or a combination thereof. The write driver or write buffer can be responsible for transferring data to the memory cell. They can enhance the input signal to reach a level suitable for the memory cell. The address decoder can be used to interpret the memory address fed as an input when data needs to be written. By activating a specific row and column of the memory array linked to the address, they can be used to select the target memory cell. Sense amplifiers are used to identify and amplify signals from the memory bank during read operations and are also involved in resetting the memory bank after data is written during write operations. Write operations are initiated by a write enable signal. When a write command is issued, this signal triggers the write driver and decoder to begin the write process. A data input latch serves as a temporary storage unit to hold data to be written to the memory bank until the write operation is performed. A data bus with a transmission line facilitates the movement of data from the data input latch to the memory bank.

對模組群組之一寫入操作可透過促進模組依一預定順序存取之一優先級仲裁電路執行,且共用寫入埠222可經組態以寫入至映射至一實體記憶體空間上之一虛擬位址空間。積體電路212可包含用於寫入周邊裝置202內之一高電壓寫入邏輯,且第二半導體裝置226可包括複數個處理元件,其中各處理元件包含用於與模組群組236之一各自模組通信之一各自介面。此外,小晶片230可包含至第二側上之共用寫入埠222之一介面以藉此與第二半導體裝置226上之一互補介面介接。A write operation to the module group can be performed through a priority arbitration circuit that facilitates access to the modules in a predetermined order, and the shared write port 222 can be configured to write to a virtual address space mapped to a physical memory space. The integrated circuit 212 can include high-voltage write logic for writing to the peripheral device 202, and the second semiconductor device 226 can include a plurality of processing elements, each of which includes a respective interface for communicating with a respective module in the module group 236. In addition, the chiplet 230 can include an interface to the shared write port 222 on the second side, thereby interfacing with a complementary interface on the second semiconductor device 226.

積體電路212亦可包含在不使用時選擇性使模組236之一模組斷電之一功率閘控電路系統。另外,積體電路212可使模組群組236之一寫入周邊裝置202連接至一專用I/O墊以實現積體電路之封裝外之資料傳送。The integrated circuit 212 may also include a power gating circuit system that selectively powers down one of the modules 236 when not in use. Additionally, the integrated circuit 212 may connect a write peripheral device 202 of the module group 236 to a dedicated I/O pad to enable data transfer outside the package of the integrated circuit.

積體電路212可利用分組在一起之模組群組之多個模組234。在特定實施例中,此等模組可彼此同步。在一些情況中,所有模組同步,而在其他例項中,僅特定模組同步。例如,當自模組群組236中之模組之一者讀取資料時,一第二裝置226上之電路需要與一特定模組同步。Integrated circuit 212 may utilize multiple modules 234 grouped together in a module group. In certain embodiments, these modules may be synchronized with one another. In some cases, all modules are synchronized, while in other instances, only specific modules are synchronized. For example, when reading data from one of the modules in module group 236, circuitry on a second device 226 may need to synchronize with a specific module.

為使模組同步,積體電路212可使用各種時序技術。在一些情況中,複數個時脈可饋送至模組群組236之每一各自模組,藉此允許各模組相對於群組中之其他模組具有解耦時序。此解耦確保一個模組中之任何延遲不會影響其他模組之功能。值得注意的是,所使用之時脈需要或不需要同步。在一些情況中,一共同時脈可用於使模組同步。在其他實施例中,一或多個時脈信號可由第二裝置226提供。To synchronize the modules, integrated circuit 212 may utilize various timing techniques. In some cases, multiple clocks may be fed to each module in module group 236, thereby allowing each module to have decoupled timing relative to the other modules in the group. This decoupling ensures that any delay in one module does not affect the functionality of other modules. It is important to note that the clocks used may or may not be synchronized. In some cases, a common clock may be used to synchronize the modules. In other embodiments, one or more clock signals may be provided by second device 226.

在替代實施例中,可使用其他同步技術,諸如時脈信號之相位比較或一鎖相迴路(PLL)同步方法。用於使IC中之模組同步之另一實施例可使用延遲鎖定迴路(DLL)同步。在此方法中,將一延遲元件添加至時脈信號路徑,且比較輸出與輸入時脈信號。回饋迴路調整延遲元件,直至DLL之輸出匹配輸入以導致時脈信號同步。In alternative embodiments, other synchronization techniques can be used, such as phase comparison of clock signals or a phase-locked loop (PLL) synchronization method. Another embodiment for synchronizing modules within an IC can use delay-locked loop (DLL) synchronization. In this method, a delay element is added to the clock signal path, and the output and input clock signals are compared. A feedback loop adjusts the delay element until the DLL output matches the input, resulting in synchronized clock signals.

在另一實施例中,積體電路212可使用不同同步技術之一組合來達成模組之間的同步。例如,一些模組可使用PLL同步,同時其他模組使用時脈延遲線或DLL同步,取決於其特定要求。另外,積體電路212亦可使用冗餘同步技術以在一個方法失敗時確保可靠性及冗餘度。例如,積體電路212可同時使用PLL同步及DLL同步兩者,使得若一個方法失敗,另一方法仍可維持同步。In another embodiment, the integrated circuit 212 may use a combination of different synchronization techniques to achieve synchronization between modules. For example, some modules may use PLL synchronization, while other modules may use clock delay lines or DLL synchronization, depending on their specific requirements. Furthermore, the integrated circuit 212 may also use redundant synchronization techniques to ensure reliability and redundancy in the event that one method fails. For example, the integrated circuit 212 may use both PLL synchronization and DLL synchronization simultaneously, so that if one method fails, the other method can still maintain synchronization.

圖3展示繪示根據本發明之一實施例之圖1之積體電路之記憶體位址空間之一方塊圖300。記憶體位址空間包含一寫入位址空間316及讀取資料位址空間310、312、314。FIG3 shows a block diagram 300 illustrating the memory address space of the integrated circuit of FIG1 according to an embodiment of the present invention. The memory address space includes a write address space 316 and read data address spaces 310, 312, 314.

寫入位址空間316由其中可儲存資料(例如權重及/或指令)之各種單元組成。此等單元指稱記憶體位址。模組群組302包含多個記憶體模組304、306、308。寫入位址空間316可分佈於記憶體模組304、306、308之間,使得寫入位址空間316自0跨越至N*M-1。如圖3中所展示,模組群組302具有N個記憶體模組304、306、308,其中N係一正整數,且各模組具有M之一記憶體大小。寫入位址空間中之唯一寫入記憶體位址之總數將為N*M,其可由自0至N*M-1之一整數引用。The write address space 316 consists of various cells in which data (such as weights and/or instructions) can be stored. These cells refer to memory addresses. The module group 302 includes a plurality of memory modules 304, 306, 308. The write address space 316 can be distributed among the memory modules 304, 306, 308 so that the write address space 316 spans from 0 to N*M-1. As shown in Figure 3, the module group 302 has N memory modules 304, 306, 308, where N is a positive integer and each module has a memory size of M. The total number of unique write memory addresses in the write address space will be N*M, which can be referenced by an integer from 0 to N*M-1.

自0開始,寫入位址空間316之記憶體位址一直循序排序至N*M-1。換言之,第一位址係0且最後位址係N*M-1以涵蓋總共N*M個位址。此排序可為線性的(各位址按1增加)或一些其他指定模式,取決於實施方案。Starting at 0, the memory addresses written into address space 316 are sorted sequentially up to N*M-1. In other words, the first address is 0 and the last address is N*M-1 to cover a total of N*M addresses. This sorting can be linear (each address increases by 1) or some other specified pattern, depending on the implementation.

寫入記憶體定址可基於系統架構依各種方式實施。用於一特定實施例中之一個方法係使用基址及界限暫存器。基址暫存器保存最小法定實體寫入記憶體位址,且界限暫存器指定範圍之大小。因此,為產生一邏輯位址,將基址添加至相對位址。在其他實施例中,可使用一記憶體定址方案,其中所使用之基址設定為0。相關領域之一般技術者將瞭解額外寫入定址技術。Write memory addressing can be implemented in various ways based on the system architecture. One method used in one particular embodiment uses base and limit registers. The base register holds the minimum legal physical write memory address, and the limit register specifies the size of the range. Thus, to generate a logical address, the base address is added to the relative address. In other embodiments, a memory addressing scheme can be used in which the base address used is set to 0. Those skilled in the relevant art will understand additional write addressing techniques.

針對寫入至模組群組302之任何裝置,各記憶體模組可擁有一唯一組寫入記憶體位址,使得模組群組302內之所有記憶體位址相對於寫入資料係唯一的,例如,第一模組自0開始且最後模組以N*M-1結束。在一些實施例中,此分配可取決於將資料寫入至模組304、306、308之裝置之記憶體管理系統,其範圍可自簡單固定分割方案至更複雜動態分割模型。Each memory module may have a unique set of write memory addresses for any device writing to module group 302, such that all memory addresses within module group 302 are unique relative to the written data, e.g., starting at 0 for the first module and ending at N*M-1 for the last module. In some embodiments, this allocation may depend on the memory management system of the device writing data to modules 304, 306, 308, which may range from a simple fixed partitioning scheme to a more complex dynamic partitioning model.

例如,在其中各模組(304、306或308)具有一相等大小之M個位址之一直接線性模型中,第一模組304將擁有寫入位址0至M-1,第二模組將具有寫入位址M至2M-1,第三模組將具有寫入位址2M至3*M-1,等等。因此,第N模組308將擁有自(N-1)*M至N*M-1之寫入位址。For example, in a direct linear model where each module (304, 306, or 308) has an equal size of M addresses, the first module 304 will have write addresses 0 to M-1, the second module will have write addresses M to 2M-1, the third module will have write addresses 2M to 3*M-1, etc. Thus, the Nth module 308 will have write addresses from (N-1)*M to N*M-1.

可預期,相關領域之一般技術者可使用自0至N*M-1之寫入記憶體位址之其他實施方案,其取決於各種因數,諸如硬體架構、作業系統、記憶體管理方案及在系統上運行之程式之性質等。It is anticipated that persons skilled in the art may use other implementations of write memory addresses from 0 to N*M-1, depending on various factors such as the hardware architecture, operating system, memory management scheme, and the nature of the programs running on the system.

模組群組302具有不同讀取資料位址空間310、312、314。此等讀取位址空間310、312、314可具有重疊位址空間,可具有連續位址空間,或可具有共延伸位址空間。讀取位址空間310、312、314可相對於彼此獨立。系統包含三個獨立讀取位址空間,標記為讀取位址空間310、312及314。此等讀取位址空間之各者不同於其他者,意謂讀取可在各空間中執行且不影響其他空間。Module group 302 has different read data address spaces 310, 312, and 314. These read address spaces 310, 312, and 314 can have overlapping address spaces, can have consecutive address spaces, or can have coextensive address spaces. Read address spaces 310, 312, and 314 can be relatively independent of each other. The system includes three independent read address spaces, labeled read address spaces 310, 312, and 314. Each of these read address spaces is distinct from the others, meaning that reads can be performed in each space without affecting the others.

讀取位址空間310、312、314可界定為記憶體位址之連續區塊,各具有自身起始位址及結束位址。在模組群組302中,各讀取位址空間310、312、314可具有對應於自0至M-1之值之一位址範圍,其中M係由所使用之模組304、306、308之大小判定之一最大值。The read address spaces 310, 312, 314 can be defined as contiguous blocks of memory addresses, each with its own starting address and ending address. In the module group 302, each read address space 310, 312, 314 can have an address range corresponding to a value from 0 to M-1, where M is a maximum value determined by the size of the modules 304, 306, 308 used.

在一個實施例中,允許一個處理單元與各讀取位址空間310、312、314介接,可如本文中所描述般實施同時讀取。讀取位址空間310、312、314之獨立性確保各處理單元可存取其所要資料且不引起與其他處理單元之任何干擾或衝突。In one embodiment, a processing unit is allowed to interface with each read address space 310, 312, 314, and read simultaneously as described herein. The independence of the read address spaces 310, 312, 314 ensures that each processing unit can access the data it needs without causing any interference or conflicts with other processing units.

圖4展示繪示根據本發明之一實施例之具有圖1之積體電路之信號介面之記憶體位址空間之一方塊圖400。用於圖4中之信號可與本文中所描述之任何實施例一起使用。然而,相關領域之一般技術者將瞭解,可使用不同信令方案。FIG4 shows a block diagram 400 illustrating a memory address space having a signal interface with the integrated circuit of FIG1 according to an embodiment of the present invention. The signals used in FIG4 can be used with any of the embodiments described herein. However, one of ordinary skill in the relevant art will appreciate that different signaling schemes can be used.

模組群組402包含共用一共同寫入周邊裝置411之模組404、406、408。寫入周邊裝置411包含具有被寫入之資料之位址之一寫入位址匯流排、具有資料之一寫入資料匯流排、引起寫入發生(例如,在時脈信號之一前緣或後緣上等)之一寫入時脈。寫入僅在寫入啟用信號指示一寫入應發生時發生。可使用任何邏輯,例如,高電壓可對應於1且低電壓可對應於0,或反之亦然。在一些實施例中,寫入周邊裝置411可在小晶片230上,且在其他實施方案中,寫入周邊裝置411在第二裝置226上。Module group 402 includes modules 404, 406, and 408 that share a common write peripheral 411. Write peripheral 411 includes a write address bus with addresses of data to be written, a write data bus with data, and a write clock that causes a write to occur (e.g., on a leading or trailing edge of a clock signal, etc.). Writing occurs only when the write enable signal indicates that a write should occur. Any logic can be used, for example, a high voltage can correspond to a 1 and a low voltage can correspond to a 0, or vice versa. In some embodiments, write peripheral 411 can be on chiplet 230, and in other embodiments, write peripheral 411 can be on second device 226.

模組群組402具有模組404、406、408,其中各模組具有一各自讀取周邊裝置410、412、414。讀取周邊裝置410、412、414之各者具有用於發送一讀取位址之一讀取位址匯流排、用於接收資料之一讀取資料匯流排、作為用於控制數位資料之輸出之時序之時脈之一讀取時脈及一輸出啟用(其係輸出資料之一先決條件)。可使用任何邏輯,例如,高電壓可對應於1且低電壓可對應於0,或反之亦然。在額外實施例中,可使用多位元或類比資料儲存。在一些實施例中,讀取周邊裝置410、412、414之一或多者可在小晶片230上,且在其他實施例中,讀取周邊裝置410、412、414之一或多者在第二裝置226上。Module group 402 includes modules 404, 406, and 408, each of which has a respective read peripheral device 410, 412, and 414. Each of read peripheral devices 410, 412, and 414 has a read address bus for sending a read address, a read data bus for receiving data, a read clock for controlling the timing of output of digital data, and an output enable (which is a prerequisite for outputting data). Any logic can be used; for example, a high voltage can correspond to a 1 and a low voltage can correspond to a 0, or vice versa. In alternative embodiments, multi-bit or analog data storage can be used. In some embodiments, one or more of the read peripheral devices 410 , 412 , 414 may be on the dielet 230 , and in other embodiments, one or more of the read peripheral devices 410 , 412 , 414 is on the second device 226 .

圖5展示根據本發明之一實施例之可為諸如一小晶片之一半導體裝置之部分之一積體電路500之一圖示。積體電路500可安置於具有一矽基板506及一第二層部分508之一半導體裝置(諸如一小晶片)上。在積體電路500內,可存在形成模組502之一陣列區段,其中記憶體位元格522之三維行陣列具有依非揮發性、半揮發性記憶體或本文中所描述之一記憶體格式儲存記憶之必要組件。FIG5 shows a diagram of an integrated circuit 500 that may be part of a semiconductor device, such as a chiplet, according to an embodiment of the present invention. Integrated circuit 500 may be disposed on a semiconductor device, such as a chiplet, having a silicon substrate 506 and a second layer portion 508. Within integrated circuit 500, there may be an array section forming module 502, wherein a three-dimensional row array of memory bit cells 522 has the necessary components for storing memory in non-volatile memory, semi-volatile memory, or one of the memory formats described herein.

積體電路500可包含具有包含一第一模組及一第二模組(即使僅展示一單一模組502)之複數個模組之一模組群組。記憶體位元格522由包含一寫入位址匯流排512及一寫入資料匯流排516兩者之共用寫入埠512、516寫入。此等匯流排運行通過第二層508且可經由一中介層連接至一第二半導體裝置。第二裝置具有與表面518上之電接點互補之電接點以允許其電耦合至寫入位址及資料匯流排。記憶體位元格522可經由包含一讀取位址匯流排524及一讀取資料匯流排526之讀取埠524、526讀取。此等兩個匯流排亦可運行通過第二層508至耦合至表面518之第二半導體裝置,表面518亦具有互補電接點以允許其電耦合至讀取位址及資料匯流排。Integrated circuit 500 may include a module group having a plurality of modules including a first module and a second module (even though only a single module 502 is shown). Memory bit cells 522 are written to via shared write ports 512, 516, which include both a write address bus 512 and a write data bus 516. These buses run through second layer 508 and may be connected to a second semiconductor device via an interposer. The second device has electrical contacts that complement the electrical contacts on surface 518, allowing it to be electrically coupled to the write address and data buses. Memory bit cells 522 can be read via read ports 524, 526, which include a read address bus 524 and a read data bus 526. These two buses can also run through the second layer 508 to a second semiconductor device coupled to the surface 518, which also has complementary contacts to allow it to be electrically coupled to the read address and data buses.

各種記憶體技術可用於記憶體位元格522,諸如由依三維行陣列522配置之非揮發性記憶體單元格形成之一垂直連接組構結構。記憶體位元格522可利用一交叉點、3D NAND、3D NOR、3D AND及/或一堆疊平面層之一或多者。Various memory technologies may be used for the memory bit grid 522, such as a vertically connected fabric structure formed by non-volatile memory cells arranged in a three-dimensional row array 522. The memory bit grid 522 may utilize one or more of a crosspoint, 3D NAND, 3D NOR, 3D AND, and/or stacked planar layers.

在一些實施例中,積體電路500電連接至包括另一積體電路(其可為一單晶片系統或一場可程式化閘陣列)之一第二半導體裝置(圖5中未展示)。在一些實施例中,記憶體位元格522可由各種非揮發性記憶體類型形成,諸如FeFET、FeRAM、ReRAM、SOT或STT。另外、替代地或視情況,記憶體位元格可由具有2端子裝置、3端子裝置或4端子裝置之非揮發性記憶體單元格形成。In some embodiments, integrated circuit 500 is electrically connected to a second semiconductor device (not shown in FIG. 5 ) that includes another integrated circuit (which may be a system-on-a-chip or a field programmable gate array). In some embodiments, memory bit cell 522 may be formed from various non-volatile memory types, such as FeFET, FeRAM, ReRAM, SOT, or STT. Additionally, alternatively, or optionally, the memory bit cell may be formed from a non-volatile memory cell having a 2-terminal device, a 3-terminal device, or a 4-terminal device.

例如,記憶體單元位元格522可由鐵電材料(諸如一鐵電穿隧接面、二極體、一電容器、一單閘極電晶體或一雙閘極電晶體)形成。替代地,記憶體單元位元格522可由憶阻材料(諸如至少一個ReRAM)或磁性材料(諸如至少一個自旋軌道矩裝置或至少一個自旋轉移矩裝置)形成。再者,非揮發性記憶體單元格522亦可由相變材料或反鐵電材料形成。For example, the memory cell 522 may be formed from a ferroelectric material (such as a ferroelectric tunneling junction, a diode, a capacitor, a single-gate transistor, or a double-gate transistor). Alternatively, the memory cell 522 may be formed from a memristive material (such as at least one ReRAM) or a magnetic material (such as at least one spin-orbit torque device or at least one spin-transfer torque device). Furthermore, the non-volatile memory cell 522 may also be formed from a phase-change material or an antiferroelectric material.

在一些替代實施例中,非揮發性記憶體單元格522可由其他類型之材料(諸如相變材料、反鐵電材料或多位元PCM材料)形成。非揮發性單元格可利用不同結構(諸如電阻性隨機存取記憶體(RRAM)技術、磁性隨機存取記憶體(MRAM)技術或鐵電隨機存取記憶體(FRAM)技術)形成。In some alternative embodiments, non-volatile memory cells 522 may be formed from other types of materials, such as phase change materials, antiferroelectric materials, or multi-bit PCM materials. Non-volatile cells may be formed using different structures, such as resistive random access memory (RRAM) technology, magnetic random access memory (MRAM) technology, or ferroelectric random access memory (FRAM) technology.

再者,在一些實施方案中,可利用3D NAND技術來形成記憶體單元位元格522。例如,記憶體單元位元格522可由堆疊記憶體層形成,其中各層包含可使用共用位元線存取之複數個記憶體格。在此一情況中,讀取埠524、526可耦合至位元線,且寫入埠512、516可耦合至控制各層之存取之字線。Furthermore, in some embodiments, 3D NAND technology can be used to form the memory cell bit grid 522. For example, the memory cell bit grid 522 can be formed by stacking memory layers, where each layer includes multiple memory cells that can be accessed using shared bit lines. In this case, the read ports 524 and 526 can be coupled to the bit lines, and the write ports 512 and 516 can be coupled to the word lines that control access to each layer.

在另一實施例中,3D連接組構結構可用NAND閘、NOR閘或AND閘之堆疊層來構建,且在一些情況中,不同類型之邏輯閘可經組合以最佳化結構之功能性。另外,可利用穿矽通路(TSV)技術來形成3D連接組構結構,其允許結構之不同層垂直互連。In another embodiment, the 3D interconnect structure can be constructed using stacked layers of NAND gates, NOR gates, or AND gates. In some cases, different types of logic gates can be combined to optimize the functionality of the structure. Additionally, the 3D interconnect structure can be formed using through-silicon via (TSV) technology, which allows different layers of the structure to be vertically interconnected.

另外,非揮發性記憶體單元格可包含:2端子裝置,諸如具有或不具有諸如串聯二極體之一額外選擇器裝置之一電容性或一憶阻裝置;3端子裝置,諸如一浮動閘極電晶體、具有一存取閘極之一電晶體;或4端子裝置,諸如具有兩個存取閘極之一電晶體。非揮發性記憶體單元格522之類型及組態可取決於特定應用要求,包含電路之速度、功耗及可靠性。記憶體單元格可包含或為一單鐵電電晶體或6T SRAM格。記憶體單元格可為諸多不同裝置之一組合,包含(但不限於)一電晶體、一憶阻器、一電容器等之一或多者。Additionally, the non-volatile memory cell may comprise a 2-terminal device, such as a capacitive or memristive device with or without an additional selector device, such as a series diode; a 3-terminal device, such as a floating gate transistor or a transistor with an access gate; or a 4-terminal device, such as a transistor with two access gates. The type and configuration of the non-volatile memory cell 522 may depend on the specific application requirements, including circuit speed, power consumption, and reliability. The memory cell may comprise or be a single ferroelectric transistor or a 6T SRAM cell. A memory cell can be a combination of many different devices, including but not limited to one or more of a transistor, a resistor, a capacitor, etc.

在本發明之一些實施例中,可利用一鐵電材料來形成非揮發性記憶體單元格522。鐵電材料可實施為各種裝置,包含(但不限於)一薄膜裝置,諸如一鐵電穿隧接面、一電容器、一單閘極電晶體或雙閘極電晶體等。In some embodiments of the present invention, a ferroelectric material may be used to form the non-volatile memory cell 522. The ferroelectric material may be implemented as a variety of devices, including but not limited to a thin film device, such as a ferroelectric tunneling junction, a capacitor, a single-gate transistor, or a double-gate transistor.

在另一實施例中,非揮發性記憶體單元格522可由一憶阻材料(諸如一金屬氧化物憶阻器(MOM)、導電橋接RAM (CBRAM)或價變記憶體(VCM),其等之各者提供關於功耗、速度、耐久性等之不同益處)形成。In another embodiment, the non-volatile memory cell 522 may be formed of a memory material such as a metal oxide memory (MOM), conductive bridge RAM (CBRAM), or value change memory (VCM), each of which offers different benefits with respect to power consumption, speed, endurance, etc.

再者,在一些實施例中,非揮發性記憶體單元格522可由一磁性材料(諸如自旋軌道矩(SOT)裝置、自旋轉移矩(STT)裝置或垂直磁穿隧接面(p-MTJ))形成。Furthermore, in some embodiments, the non-volatile memory cell 522 may be formed of a magnetic material, such as a spin-orbit torque (SOT) device, a spin transfer torque (STT) device, or a perpendicular magnetic tunneling junction (p-MTJ).

在一個實施例中,模組群組可包含諸多模組,其中各模組可用一專用讀取周邊裝置520透過專用讀取埠524、526存取,同時共用相同寫入埠512、516及共用寫入周邊裝置510。共用寫入埠512、516可經組態以選擇性寫入至包含記憶體位元格522之模組群組內之複數個模組之一或多者。模組之各者可具有相同或不同大小,且不同模組大小可經組態以最佳化記憶體陣列在不同操作情形下之利用率等。In one embodiment, a module group may include multiple modules, each of which can be accessed by a dedicated read peripheral 520 via dedicated read ports 524, 526, while sharing the same write ports 512, 516 and a common write peripheral 510. The shared write ports 512, 516 can be configured to selectively write to one or more of the multiple modules within the module group that includes the memory cell 522. The modules can be of the same or different sizes, and different module sizes can be configured to optimize memory array utilization under different operating conditions, etc.

此外,可利用不同製程及技術(其等包含(但不限於)一CMOS或雙極CMOS-DMOS (BCD)程序、一絕緣體上矽(SOI)程序、一FinFET程序、矽鍺(SiGe)程序、砷化鎵(GaAs)程序等)來形成積體電路500。Furthermore, the integrated circuit 500 may be formed using various processes and technologies, including but not limited to a CMOS or bipolar CMOS-DMOS (BCD) process, a silicon-on-insulator (SOI) process, a FinFET process, a silicon germanium (SiGe) process, a gallium arsenide (GaAs) process, etc.

在一些實施例中,記憶體位元格522之三維行陣列形成,組態為一微儲存庫。另外或替代地,微儲存庫之各者將具有一專用讀取周邊裝置520及一寫入周邊裝置510 (其亦係一專用寫入周邊裝置而非一共用寫入周邊裝置)。即,在一些實施例中,各微儲存庫包含一專用寫入連接及一專用讀取連接,預定數目個微儲存庫(例如2個或4個)可具有一專用寫入連接及一專用讀取連接,具有或不具有專用各自周邊裝置等。In some embodiments, a three-dimensional array of rows of memory bit cells 522 is formed and configured as a micro-bank. Additionally or alternatively, each micro-bank will have a dedicated read peripheral 520 and a write peripheral 510 (which is also a dedicated write peripheral rather than a shared write peripheral). That is, in some embodiments, each micro-bank includes a dedicated write connection and a dedicated read connection, and a predetermined number of micro-banks (e.g., two or four) may have a dedicated write connection and a dedicated read connection, with or without dedicated peripherals.

圖6展示根據本發明之一實施例之具有在電連接至一單晶片系統(「SOC」) 610之一半導體裝置(諸如小晶片230)上實施之圖1之積體電路之一總成600之一透視圖。在此實施例中,半導體裝置係電連接至一單晶片系統(「SOC」) 610之小晶片230。FIG6 shows a perspective view of an assembly 600 having the integrated circuit of FIG1 implemented on a semiconductor device (such as chiplet 230) electrically connected to a system-on-a-chip (“SOC”) 610 according to an embodiment of the present invention. In this embodiment, the semiconductor device is chiplet 230 electrically connected to the system-on-a-chip (“SOC”) 610.

參考圖6,SOC 610包含其上形成複數個處理元件(包含一處理元件606)之一矽基板602。處理元件可透過一單晶片網路(「NOC」) 604 (其係導引處理元件之間的資料傳送之一通信組構)彼此通信。通信組構可採取各種形式,包含匯流排、交換機、NOC等。SOC 610中之NOC 604導引各種節點(例如處理元件606)與鏈路(其等提供節點之間的通信路徑)之間的資料訊務。Referring to FIG. 6 , SOC 610 includes a silicon substrate 602 on which a plurality of processing elements (including a processing element 606) are formed. The processing elements can communicate with each other via a network-on-chip (NOC) 604, a communication fabric that directs data transfer between the processing elements. This communication fabric can take various forms, including buses, switches, and NOCs. NOC 604 in SOC 610 directs data traffic between various nodes (e.g., processing element 606) and links (which provide communication paths between the nodes).

複數個處理元件包含處理元件606,處理元件可為能夠執行指令之任何適合類型之處理器,包含微處理器、圖形處理單元(GPU)、數位信號處理器(DSP)或專用積體電路(ASIC)。The plurality of processing elements includes processing element 606, which may be any suitable type of processor capable of executing instructions, including a microprocessor, a graphics processing unit (GPU), a digital signal processor (DSP), or an application-specific integrated circuit (ASIC).

另外,SOC 610可包括分組在一起以向本文中所描述之總成600提供記憶體功能性之各種模組,諸如模組232。模組群組236中之模組可耦合至一各自處理元件,向其提供可讀記憶體。在一些實施例中,模組(例如模組232)與處理元件(例如606)之間的耦合可透過矽基板602上之互連件達成。Additionally, SOC 610 may include various modules, such as module 232, grouped together to provide memory functionality for assembly 600 as described herein. Modules in module group 236 may be coupled to a respective processing element, providing readable memory thereto. In some embodiments, coupling between a module (e.g., module 232) and a processing element (e.g., 606) may be achieved through interconnects on silicon substrate 602.

在電路系統形成於矽基板602上之後,一第二層608可安置於基板之頂部上。第二層608可為任何適合材料,諸如一絕緣材料、一金屬、一介電質或互連層,且其可接合至小晶片230。接合可使用任何適合技術來完成,包含(但不限於)黏著劑、焊接或熔接等。After the circuitry is formed on the silicon substrate 602, a second layer 608 can be placed on top of the substrate. The second layer 608 can be any suitable material, such as an insulating material, a metal, a dielectric, or an interconnect layer, and can be bonded to the chiplet 230. Bonding can be accomplished using any suitable technique, including, but not limited to, adhesives, soldering, or welding.

一般而言,總成600提供將小晶片230 (其可包含圖1之積體電路)與SOC 610整合之一方式。整合小晶片230提供各種優點,諸如增強功能性、更高效能及更低功耗。再者,小晶片230與SOC 610之整合可依各種方式實現,取決於系統之特定應用及設計目標。Generally speaking, assembly 600 provides a means of integrating chiplet 230 (which may include the integrated circuit of FIG. 1 ) with SOC 610. Integrating chiplet 230 offers various advantages, such as enhanced functionality, higher performance, and lower power consumption. Furthermore, the integration of chiplet 230 and SOC 610 can be achieved in a variety of ways, depending on the specific application and design goals of the system.

取決於系統之特定要求,總成600可併入各種變動及修改。例如,形成於矽基板602上之處理元件可變動其數目、類型及配置。類似地,模組群組236中之模組可變動其數目、類型及功能。Depending on the specific requirements of the system, assembly 600 may incorporate various variations and modifications. For example, the number, type, and configuration of processing elements formed on silicon substrate 602 may vary. Similarly, the number, type, and function of modules in module group 236 may vary.

此外,第二層608可修改為包含額外功能性。例如,第二層608可包含諸如電阻器、電容器及電感器之被動組件或諸如電晶體或二極體之主動組件。將此等組件併入第二層608中可進一步增強系統之功能性及效能。Furthermore, the second layer 608 can be modified to include additional functionality. For example, the second layer 608 can include passive components such as resistors, capacitors, and inductors, or active components such as transistors or diodes. Incorporating these components into the second layer 608 can further enhance the functionality and performance of the system.

在另一變型中,總成600可併入一異質整合方法,其中小晶片230使用與用於SOC 610之技術不同之一技術來製造。此方法允許針對系統之不同部分最佳地使用不同製造技術以導致提高效能且降低功耗。In another variation, assembly 600 may incorporate a heterogeneous integration approach, where chiplets 230 are fabricated using a different technology than that used for SOC 610. This approach allows for optimal use of different fabrication technologies for different parts of the system, resulting in improved performance and reduced power consumption.

圖7展示具有含一處理元件陣列706 (在處理元件706a,a至706n,n之一網格上,其中第一下標係行且第二下標係列)之一半導體裝置707及具有一微儲存庫陣列708之一第二半導體裝置709之一總成700之一透視圖。微儲存庫陣列708位於微儲存庫708a,a至708n,n之一網格上,其中第一下標係行且第二下標係列。此等下標可排成一行,使得一處理元件706之一各自下標對應於一微儲存庫708之一各自下標。微儲存庫708係本文中所描述之一類型之模組,其中微儲存庫在一垂直方向上定位,例如位於一各自處理元件706上方。半導體裝置707可為一小晶片。此外,半導體裝置709亦可為一小晶片。小晶片707、709可接合在一起。不同層710 (例如,710a至710d可對應)可分配給單獨AI模型(例如一神經網路中之參數,諸如一CNN或變壓器模型)。FIG7 shows a perspective view of an assembly 700 having a semiconductor device 707 including an array of processing elements 706 (on a grid of processing elements 706a,a through 706n,n, where the first subscript is a row and the second subscript is a series) and a second semiconductor device 709 having an array of micro-banks 708. The micro-bank array 708 is arranged on a grid of micro-banks 708a,a through 708n,n, where the first subscript is a row and the second subscript is a series. These subscripts can be arranged in a row so that each subscript of a processing element 706 corresponds to a respective subscript of a micro-bank 708. Micro-banks 708 are modules of the type described herein, in which the micro-banks are positioned vertically, for example, above each processing element 706. Semiconductor device 707 may be a chiplet. Furthermore, semiconductor device 709 may also be a chiplet. Chips 707 and 709 may be bonded together. Different layers 710 (e.g., layers 710a through 710d) may be assigned to separate AI models (e.g., parameters in a neural network, such as a CNN or transformer model).

總成700係收容圖7中所展示之各種組件之總體結構。其向其他元件提供機械支撐及整合以允許元件充當一統一系統。Assembly 700 is the overall structure that houses the various components shown in Figure 7. It provides mechanical support and integration to the other components to allow the components to function as a unified system.

總成700包含兩個半導體裝置:半導體裝置707及半導體裝置709。半導體裝置707含有標記為706a,a至706n,n之一處理元件陣列。類似地,半導體裝置709含有標記為708a,a至708n,n之一微儲存庫陣列。Assembly 700 includes two semiconductor devices: semiconductor device 707 and semiconductor device 709. Semiconductor device 707 includes an array of processing elements labeled 706a,a through 706n,n. Similarly, semiconductor device 709 includes an array of micro-storage banks labeled 708a,a through 708n,n.

下標a,a至n,n指示處理元件706及微儲存庫708依一網格圖案配置,其中第一下標係指行且第二下標係指列。此網格配置允許各處理元件706具有垂直定位於其上方之一對應微儲存庫708。例如,處理元件706a,a在其上方具有微儲存庫708a,a,處理元件706b,b在其上方具有微儲存庫708b,b,等等。網格之對準允許處理與儲存組件之間的緊密整合。Subscripts a,a through n,n indicate that processing elements 706 and micro-storage banks 708 are arranged in a grid pattern, with the first subscript referring to rows and the second subscript referring to columns. This grid arrangement allows each processing element 706 to have a corresponding micro-storage bank 708 positioned vertically above it. For example, processing element 706a,a has micro-storage bank 708a,a above it, processing element 706b,b has micro-storage bank 708b,b above it, and so on. This grid alignment allows for tight integration between processing and storage components.

在一些實施例中,半導體裝置707及709係使用封裝技術整合至統一總成700中之可能單獨小晶片。小晶片形狀因數允許在組裝系統時靈活性及客製化更大。此配置使得各處理元件706可存取位於其上方之其各自微儲存庫708以擷取相關資料,諸如神經網路或AI模型之權重。此可提供高效處理所需之高頻寬及低延時資料存取。In some embodiments, semiconductor devices 707 and 709 are integrated into a unified assembly 700 using packaging technology, possibly as separate small chips. The small chip form factor allows for greater flexibility and customization when assembling the system. This configuration enables each processing element 706 to access its own micro-storage 708 located above it to retrieve relevant data, such as weights for a neural network or AI model. This provides the high-bandwidth and low-latency data access required for efficient processing.

輸入資料經由輸入DRAM記憶體702進入系統。此資料流入至處理元件706中,其中資料使用來自垂直整合微儲存庫708之權重或參數來局部操作。處理結果經由輸出DRAM記憶體704輸出。輸入DRAM記憶體702由標記為702a、702b及702c之多個個別DRAM模組組成。DRAM記憶體702可為任何類型之動態隨機存取記憶體,包含(但不限於) DDR SDRAM、LPDDR SDRAM、GDDR SDRAM及HBM。DRAM記憶體提供高頻寬資料輸入能力以將資料(諸如推理輸入或訓練資料)饋送至處理管線中。Input data enters the system via input DRAM memory 702. This data flows into processing elements 706 where it is locally operated on using weights or parameters from vertically integrated micro-storage 708. The results of the processing are output via output DRAM memory 704. Input DRAM memory 702 is composed of a plurality of individual DRAM modules labeled 702a, 702b, and 702c. DRAM memory 702 can be any type of dynamic random access memory, including (but not limited to) DDR SDRAM, LPDDR SDRAM, GDDR SDRAM, and HBM. DRAM memory provides high-bandwidth data input capability to feed data (such as inference input or training data) into the processing pipeline.

在一些實施例中,各個別DRAM模組702a、702b及702c具有至各處理元件706之一專用介面及資料路徑。例如,DRAM模組702a可僅向處理元件706a,a饋送資料,同時DRAM模組702b僅向處理元件706b,b饋送資料。此提供模組化可擴展性,因為可添加額外DRAM模組以饋送更多處理元件。In some embodiments, each DRAM module 702a, 702b, and 702c has a dedicated interface and data path to each processing element 706. For example, DRAM module 702a may only feed data to processing element 706a,a, while DRAM module 702b only feeds data to processing element 706b,b. This provides modular scalability, as additional DRAM modules can be added to feed more processing elements.

輸入DRAM記憶體702及個別模組702a至702c之數目可取決於應用要求而變動。例如,可存在4個、8個、16個或更多個輸入DRAM模組。各模組之容量可在自吉位元組至太位元組之範圍內,取決於諸如存取速度、功率及成本預算之因數。The number of input DRAM memory 702 and individual modules 702a-702c can vary depending on application requirements. For example, there may be 4, 8, 16, or more input DRAM modules. The capacity of each module can range from gigabytes to terabytes, depending on factors such as access speed, power, and cost budget.

如DDR5、GDDR6或HBM3之高速介面可用於最大化輸入DRAM記憶體702與橫跨半導體裝置707之處理元件706之間的資料傳送頻寬。共用資料匯流排、縱橫開關或晶片上網路可使DRAM模組702及處理元件706之群組互連。High-speed interfaces such as DDR5, GDDR6, or HBM3 can be used to maximize the bandwidth of data transfer between the input DRAM memory 702 and the processing elements 706 across the semiconductor device 707. Shared data buses, crossbar switches, or on-chip networks can interconnect groups of DRAM modules 702 and processing elements 706.

在一些實施方案中,輸入DRAM模組702可依一多維組態堆疊或配置以增加總記憶體容量及頻寬,同時減少延時及功耗。專用記憶體控制器及排程器可管理橫跨多個輸入DRAM模組702之並行資料存取。In some embodiments, the input DRAM modules 702 can be stacked or configured in a multi-dimensional configuration to increase total memory capacity and bandwidth while reducing latency and power consumption. A dedicated memory controller and scheduler can manage parallel data access across multiple input DRAM modules 702.

輸入DRAM記憶體702供應並行處理元件706之高頻寬資料需求以實現快速且高效資料密集型計算,諸如神經網路推理。各處理元件706可自其專用DRAM模組702直接存取所需輸入資料,無需與其他處理器競爭資料存取。Input DRAM memory 702 provides the high-bandwidth data needs of parallel processing elements 706 to enable fast and efficient data-intensive computations, such as neural network inference. Each processing element 706 can directly access the required input data from its dedicated DRAM module 702 without having to compete with other processors for data access.

在替代實施例中,代替或外加DRAM記憶體702、706,相鄰加速器小晶片可與半導體裝置707通信。即,可存在彼此通信以執行AI推理及/或AI訓練(例如變壓器推理、CNN干擾、ANN干擾等)之總成700之一網格狀配置。在一些實施例中,可存在共用DRAM記憶體702及/或706之一庫或部分之半導體裝置707之叢集。在一些實施例中,輸入DRAM記憶體702及輸出DRAM記憶體704可組合為相同DRAM記憶體。In alternative embodiments, instead of or in addition to DRAM memory 702 and 706, adjacent accelerator chiplets may communicate with semiconductor device 707. That is, there may be a grid-like configuration of assemblies 700 communicating with each other to perform AI inference and/or AI training (e.g., transformer inference, CNN perturbation, ANN perturbation, etc.). In some embodiments, there may be a cluster of semiconductor devices 707 that share a bank or portion of DRAM memory 702 and/or 706. In some embodiments, input DRAM memory 702 and output DRAM memory 704 may be combined into the same DRAM memory.

總成700包含一半導體裝置707,其包括標記為706a,a至706n,n之一處理元件陣列。陣列中之各處理元件可經組態以執行專用計算及資料處理操作。例如,在一些實施例中,處理元件可針對人工智慧工作量(如神經網路推理)最佳化。在其他情況中,處理元件可更多聚焦於通用能力。最終,各處理元件之能力根據期望取決於可適合於某些應用之其特定微架構。Assembly 700 includes a semiconductor device 707, which includes an array of processing elements, labeled 706a through 706n. Each processing element in the array can be configured to perform specialized computational and data processing operations. For example, in some embodiments, a processing element may be optimized for artificial intelligence workloads, such as neural network inference. In other cases, a processing element may be more focused on general-purpose capabilities. Ultimately, the capabilities of each processing element will be determined by its specific microarchitecture, as desired for a particular application.

處理元件706可存取附近記憶體儲存器以擷取饋送至其計算中之資料。此記憶體可與處理元件陣列706實體分離,如圖7中所展示之微儲存庫708之情況。處理元件706及微儲存庫708經對準使得各微儲存庫在一垂直組態中直接定位於其對應處理元件上方。此緊密耦合在各儲存庫-元件對之間提供快速資料傳送速度。Processing elements 706 can access nearby memory storage to retrieve data fed into their computations. This memory can be physically separate from the processing element array 706, as is the case with micro-repositories 708 shown in Figure 7. Processing elements 706 and micro-repositories 708 are aligned so that each micro-repository is positioned directly above its corresponding processing element in a vertical configuration. This tight coupling provides fast data transfer speeds between each micro-repository-element pair.

就實體實施方案而言,處理元件陣列706常駐於半導體裝置707內。半導體裝置707可潛在地使用先進封裝技術製造為一獨立小晶片。接著,此模組化小晶片可透過高密度互連與其他組件(如微儲存庫小晶片709)整合。一些選項包含無凸塊混合接合、中介層或甚至單片3D整合。最終,組合小晶片允許創建具有最佳化晶粒之強大異構系統。In a physical embodiment, the processing element array 706 often resides within a semiconductor device 707. Semiconductor device 707 can potentially be fabricated as a standalone chiplet using advanced packaging techniques. This modular chiplet can then be integrated with other components, such as micro-storage chiplet 709, via high-density interconnects. Some options include bumpless hybrid bonding, interposers, or even monolithic 3D integration. Ultimately, combining chiplets allows for the creation of powerful heterogeneous systems with optimized die.

存在之處理元件706之具體數目、設計及互連方案可在總成700之實施方案之間變動。例如,較簡單系統僅需要一2x2網格之元件,而一複雜AI加速器要具有一32x32陣列。處理元件706本身亦可具有橫跨總成之不同記憶體存取路線。點對點鏈路、縱橫開關或共用匯流排係可行連接結構。此等架構決策取決於試圖滿足之效能及面積約束。The specific number of processing elements 706 present, their design, and their interconnection scheme can vary between implementations of assembly 700. For example, a simpler system may require only a 2x2 grid of elements, while a complex AI accelerator may have a 32x32 array. Processing elements 706 themselves may also have different memory access routes across the assembly. Point-to-point links, crossbar switches, or a shared bus are all possible connection structures. These architectural decisions are driven by the performance and area constraints being sought.

第二半導體裝置709係與第一半導體裝置707分離之一裝置。如同第一半導體裝置707,第二半導體裝置709亦可實施為一小晶片。第二半導體裝置709包含配置於自微儲存庫708a,a跨越至708n,n之一網格上之一微儲存庫陣列708。The second semiconductor device 709 is a separate device from the first semiconductor device 707. Like the first semiconductor device 707, the second semiconductor device 709 can also be implemented as a small chip. The second semiconductor device 709 includes a micro-bank array 708 arranged on a grid spanning from micro-banks 708a,a to 708n,n.

如所提及,第二半導體裝置709上之微儲存庫708垂直定位於第一半導體裝置707上之處理元件706上方。基於識別其在網格中之位置之下標,各微儲存庫708與其下方之處理元件706對齊且對應。例如,微儲存庫708a,a與處理元件706a,a垂直對準且對應。此允許各處理元件706存取其上方之微儲存庫708。As mentioned, micro-repositories 708 on second semiconductor device 709 are positioned vertically above processing elements 706 on first semiconductor device 707. Based on the subscripts identifying their positions in the grid, each micro-repository 708 is aligned and corresponds to the processing element 706 below it. For example, micro-repositories 708a,a are vertically aligned and correspond to processing elements 706a,a. This allows each processing element 706 to access the micro-repository 708 above it.

微儲存庫708充當一記憶體結構,其儲存可在如神經網路推理之操作期間由下方之處理元件706存取之如AI模型權重之事物。微儲存庫708可針對非常快讀取時間但較慢寫入時間最佳化。此允許處理元件706快速存取其計算所需之權重及資料,同時不太頻繁更新之資料仍可依一較慢速度寫入。Microstore 708 acts as a memory structure that stores data such as AI model weights that can be accessed by underlying processing element 706 during operations such as neural network inference. Microstore 708 is optimized for very fast read times but slow write times. This allows processing element 706 to quickly access the weights and data it needs for its calculations, while less frequently updated data can still be written at a slower rate.

在一些實施例中,含有微儲存庫陣列708之第二半導體裝置709藉由處理元件706直接接合至第一半導體裝置707。此接合使各微儲存庫708與其下方之對應處理元件706對準。裝置之間的導電互連件允許各處理元件706直接向上與其各自上覆微儲存庫708通信。此提供一緊湊、模組化且高效系統架構。In some embodiments, a second semiconductor device 709 containing a micro-bank array 708 is directly bonded to the first semiconductor device 707 via processing elements 706. This bonding aligns each micro-bank 708 with its corresponding processing element 706 below it. Conductive interconnects between the devices allow each processing element 706 to communicate directly upward with its respective overlying micro-bank 708. This provides a compact, modular, and efficient system architecture.

微儲存庫708可含有多個記憶體層,標記為710a至710d,其等各儲存一不同AI模型之權重或資料。例如,層710a含有模型A之權重,層710b含有模型B之權重,等等。垂直堆疊此等層促成微儲存庫設計之高密度及快速存取時間。Micro-storage 708 may contain multiple memory layers, labeled 710a through 710d, each storing weights or data for a different AI model. For example, layer 710a contains the weights for model A, layer 710b contains the weights for model B, and so on. Vertically stacking these layers enables high density and fast access times in the micro-storage design.

微儲存庫708可使用針對快速讀出時間最佳化以用最少延遲向處理元件706供應資料之各種記憶體技術(包含(但不限於) SRAM、FeFET、ReRAM、SOT及STT)來實施。特定實施例可將微儲存庫708組態為具有比其寫入速度快得多之讀取速度。微儲存庫708可使用本文中所描述之任何FeFET或記憶體結構來實施。Micro-storage 708 can be implemented using a variety of memory technologies optimized for fast readout times to provide data to processing element 706 with minimal latency, including but not limited to SRAM, FeFET, ReRAM, SOT, and STT. Certain embodiments may configure micro-storage 708 to have a read speed significantly faster than its write speed. Micro-storage 708 can be implemented using any of the FeFET or memory structures described herein.

在一些實施例中,各微儲存庫708可具有4千位元組至128千位元組之間的一容量用於儲存機器學習模型之參數或其他資料。每層之位元密度可超過0.4吉位元/平方毫米。微儲存庫708之緊湊大小(在一個實施例中各邊在小於100微米之間,或在另一實施例中12微米×12微米)允許記憶體模組之高密度整合。In some embodiments, each micro-bank 708 may have a capacity between 4 kilobytes and 128 kilobytes for storing machine learning model parameters or other data. The bit density per layer may exceed 0.4 gigabits per square millimeter. The compact size of micro-bank 708 (less than 100 microns on each side in one embodiment, or 12 microns by 12 microns in another embodiment) allows for high-density integration of memory modules.

微儲存庫708之基於陣列之配置可實現處理元件706之同時並行資料存取以支援總成700之高處理量資料處理。微儲存庫708及處理元件706之一對一對準亦確保各處理元件706具有對其所需資料之專用存取且沒有競爭。The array-based configuration of micro-repositories 708 enables simultaneous parallel data access by processing elements 706 to support high-throughput data processing by assembly 700. The one-to-one alignment of micro-repositories 708 and processing elements 706 also ensures that each processing element 706 has dedicated access to the data it needs without contention.

微儲存庫708共用由第二半導體裝置709提供之半導體裝置介面,其促進資料自輸入DRAM記憶體702寫入至微儲存庫708。將資料自微儲存庫708讀取至處理元件706及輸出DRAM記憶體704透過各垂直對準之微儲存庫708與處理元件對之間的專用路徑處置。Micro-storage bank 708 shares a semiconductor device interface provided by second semiconductor device 709, which facilitates writing data from input DRAM memory 702 to micro-storage bank 708. Reading data from micro-storage bank 708 to processing element 706 and out of DRAM memory 704 is handled through dedicated pathways between each vertically aligned micro-storage bank 708 and processing element pair.

微儲存庫記憶體層710係指垂直堆疊於第二半導體裝置709內之多層微儲存庫記憶體。如圖7中所繪示,存在標記為710a、710b、710c及710d之四個單獨微儲存庫記憶體層。各層含有一微儲存庫陣列,諸如圖中所展示之微儲存庫陣列708。Micro-bank memory layer 710 refers to multiple layers of micro-bank memory vertically stacked within second semiconductor device 709. As shown in FIG7 , there are four separate micro-bank memory layers labeled 710 a, 710 b, 710 c, and 710 d. Each layer contains a micro-bank array, such as micro-bank array 708 shown in the figure.

在一個實施例中,微儲存庫708可利用使用電荷陷阱快閃技術由NAND記憶體陣列之多個層構建之一堆疊3D NAND架構。各微儲存庫708可在底層上含有一組專用字線驅動器以促進存取由交替介電層間隔之上述3D NAND格陣列。3D NAND實施方案可用於藉由利用垂直擴展來最大化密度及處理量。In one embodiment, micro-banks 708 can utilize a stacked 3D NAND architecture constructed from multiple layers of NAND memory arrays using charge trap flash technology. Each micro-bank 708 can contain a dedicated set of wordline drivers on the bottom layer to facilitate access to the 3D NAND grid array separated by alternating dielectric layers. 3D NAND implementations can be used to maximize density and throughput by leveraging vertical scaling.

在一替代實施例中,微儲存庫708採用由NOR快閃記憶體陣列之多個階層建構之一3D NOR架構。各平面以使用通路彼此上下堆疊之具有一源極線及位元線架構之NOR串為特徵。3D NOR配置最佳化儲存資料之隨機讀取存取時間。In an alternative embodiment, micro-bank 708 employs a 3D NOR architecture constructed from multiple layers of NOR flash memory arrays. Each plane features NOR strings with a source and bit line architecture stacked on top of each other using vias. The 3D NOR configuration optimizes random read and write access times for stored data.

微儲存庫708亦可採用具有組織成垂直子陣列之不同類型之揮發性及/或非揮發性記憶體之一混合組態,諸如組合FeRAM及ReRAM格。此異構3D整合允許在相同儲存庫結構內最佳化速度、耐久性及保持性。Micro-storage bank 708 can also employ a hybrid configuration with different types of volatile and/or non-volatile memory organized in vertical sub-arrays, such as combining FeRAM and ReRAM cells. This heterogeneous 3D integration allows for optimization of speed, endurance, and retention within the same storage bank structure.

在某些實施例中,微儲存庫708將如類比計算之處理邏輯直接整合至記憶體陣列堆疊本身中。此記憶體中處理方法將基本計算運算子放置於記憶體周邊裝置或位元格內以實現高度並行且高效原位資料處理。In some embodiments, micro-repositories 708 integrate processing logic, such as analog computation, directly into the memory array stack itself. This in-memory processing approach places basic computational operators in memory peripherals or bit cells to achieve highly parallel and efficient in-situ data processing.

一些實施方案可利用2.5D或3D堆疊來將微儲存庫708與其他組件(如邏輯、CPU、GPU或專用加速器)整合。經由如高頻寬記憶體立方體架構之技術之此緊密封裝整合減少資料傳送延時及功耗。Some implementations may utilize 2.5D or 3D stacking to integrate micro-repositories 708 with other components, such as logic, CPUs, GPUs, or specialized accelerators. This tightly packaged integration, through technologies such as the High-Frequency Wide Memory Cube architecture, reduces data transfer latency and power consumption.

微儲存庫708亦可採用一虛擬化架構,其中一外部記憶體控制器處置實體陣列組織與動態分配之虛擬記憶體域之間的轉譯。映射至實體陣列上之此等虛擬域有效創建具有適合於應用需求之靈活容量之單獨虛擬儲存庫。Micro-storage 708 can also employ a virtualized architecture in which an external memory controller handles the translation between the physical array organization and dynamically allocated virtual memory domains. These virtual domains mapped onto the physical array effectively create a separate virtual storage with flexible capacity tailored to application needs.

在某些實施例中,微儲存庫708經設計為在位元格周邊裝置內具有整合處理能力之計算RAM (CRAM)以實現高度並行記憶體內計算架構。整合至CRAM陣列中之無閘極電晶體結構促進批量按位元運算之高效執行。In some embodiments, micro-storage 708 is designed as a compute RAM (CRAM) with integrated processing capabilities within the bit cell periphery to implement a highly parallel compute-in-memory architecture. The gateless transistor structure integrated into the CRAM array facilitates efficient execution of batch bit-wise operations.

一些實施方案將微儲存庫708配置至含有適合於如AI推理之工作量之專用處理邏輯之模組化記憶體處理單元(MPU)結構中。MPU架構經由如HBM2之高速介面將儲存庫陣列耦合至向量處理器以實現低延時資料傳送。Some implementations place the micro-repositories 708 within a modular memory processing unit (MPU) architecture that contains dedicated processing logic suitable for workloads such as AI inference. The MPU architecture couples the repository array to the vector processor via a high-speed interface such as HBM2 for low-latency data transfer.

微儲存庫708亦可藉由將比較邏輯整合至記憶體周邊裝置中來實施內容可定址能力。此促進基於內容而非顯式位址來搜尋或存取資料以實現強大圖案匹配能力。Microstore 708 can also implement content addressability by integrating comparison logic into memory peripherals. This facilitates searching or accessing data based on content rather than explicit addresses, enabling powerful pattern matching capabilities.

某些實施例可將多個微儲存庫晶粒堆疊於以如GPU或AI加速器之事物為特徵之基底邏輯晶粒之頂部上。此創建針對以資料為中心之工作量而最佳化之密集、高頻寬異構系統,同時最小化資料移動。Some embodiments can stack multiple micro-repository dies on top of a base logic die featuring something like a GPU or AI accelerator. This creates a dense, high-bandwidth heterogeneous system optimized for data-centric workloads while minimizing data movement.

微儲存庫記憶體層710可利用三維積體電路製程來製造以使含有微儲存庫708陣列之多個晶粒或晶圓彼此上下堆疊。可採用穿矽通路(TSV)或其他垂直互連技術來實現層之間的通信。The micro-bank memory layer 710 can be fabricated using a three-dimensional integrated circuit process to stack multiple dies or wafers containing arrays of micro-banks 708 on top of each other. Through-silicon vias (TSVs) or other vertical interconnect technologies can be used to enable communication between layers.

在一些實施例中,各微儲存庫記憶體層710對應於一不同人工智慧(AI)模型或應用。例如,層710a可儲存AI模型A之權重及參數,層710b可儲存AI模型B之權重及參數,等等。此允許多個AI模型高效儲存於相同微儲存庫記憶體708結構內。In some embodiments, each micro-repository memory layer 710 corresponds to a different artificial intelligence (AI) model or application. For example, layer 710a may store weights and parameters for AI model A, layer 710b may store weights and parameters for AI model B, and so on. This allows multiple AI models to be efficiently stored within the same micro-repository memory 708 structure.

在一些實施例中,微儲存庫708可擁有在自4千位元組至128千位元組之範圍內之容量。在其他情況中,容量可在4千位元組至16千位元組之間。在一些實施方案中,各微儲存庫可具有小於100微米×小於100微米之橫向尺寸,同時垂直延伸以併入可能超過200個記憶體格層。In some embodiments, micro-banks 708 may have a capacity ranging from 4 kilobytes to 128 kilobytes. In other cases, the capacity may be between 4 kilobytes and 16 kilobytes. In some embodiments, each micro-bank may have lateral dimensions of less than 100 microns by less than 100 microns, while extending vertically to incorporate potentially more than 200 memory cells.

在一些實施例中,微儲存庫記憶體層710內之每層每平方毫米之位元密度可促進具有一小佔用面積之高容量儲存。層可利用非揮發性記憶體技術(諸如FeFET、STT-MRAM或ReRAM)在移除電力時保持資料。In some embodiments, the bit density per square millimeter per layer within the micro-bank memory layer 710 can facilitate high-capacity storage with a small footprint. The layer can utilize non-volatile memory technologies (such as FeFETs, STT-MRAM, or ReRAM) to retain data when power is removed.

在操作中,處理元件706可自微儲存庫記憶體層710存取權重或參數以執行神經網路推理或其他機器學習計算。In operation, processing element 706 may access weights or parameters from micro-repository memory layer 710 to perform neural network inference or other machine learning computations.

各種AI之推理結果可發送至輸出DRAM記憶體704,其等包括標記為704a、704b及704c之個別DRAM記憶體模組。輸出DRAM記憶體704相鄰於微儲存庫陣列708及第二半導體裝置709定位。輸出DRAM記憶體704可充當暫時資料儲存器,其可在自微儲存庫708擷取之輸出資料向外傳輸之前緩衝輸出資料。The inference results of various AIs can be sent to output DRAM memory 704, which includes individual DRAM memory modules labeled 704a, 704b, and 704c. Output DRAM memory 704 is located adjacent to micro-storage array 708 and second semiconductor device 709. Output DRAM memory 704 can serve as temporary data storage, buffering output data retrieved from micro-storage 708 before external transmission.

各DRAM記憶體模組704a、704b及704c可具有類似或不同儲存容量,取決於設計要求。例如,在一個實施例中,各模組含有16百萬位元之儲存。DRAM儲存格利用一電容器以電荷之形式保持資料位元。歸因於電荷洩漏,DRAM記憶體需要週期性再新循環以維持儲存資料完整性。為實現橫跨多個模組之同時讀取及寫入,各DRAM模組704a、704b及704c可具有專用內部控制電路系統及I/O埠。Each DRAM memory module 704a, 704b, and 704c can have similar or different storage capacities, depending on design requirements. For example, in one embodiment, each module contains 16 million bits of storage. DRAM cells utilize a capacitor to hold data bits in the form of a charge. Due to charge leakage, DRAM memory requires periodic refresh cycles to maintain the integrity of stored data. To enable simultaneous reads and writes across multiple modules, each DRAM module 704a, 704b, and 704c can have dedicated internal control circuitry and I/O ports.

來自個別微儲存庫708之資料輸出可在經由周邊電路系統傳輸至外部組件之前在輸出DRAM記憶體704中聚集及緩衝。緩衝資料允許調節傳輸速率以匹配外部介面之要求。其亦實現在輸出之前由第二半導體裝置709執行如格式化、編碼或加密之資料處理操作。Data output from individual micro-storage banks 708 can be aggregated and buffered in output DRAM memory 704 before being transmitted to external components via the peripheral circuitry. Buffering the data allows the transfer rate to be adjusted to match the requirements of the external interface. It also enables data processing operations such as formatting, encoding, or encryption to be performed by the second semiconductor device 709 before output.

在一些實施例中,輸出DRAM模組704a、704b及704c經設計以提供高密度、低成本暫時資料儲存以支援自微儲存庫陣列708之高頻寬並行讀取。最佳化此等效能參數允許自微儲存庫高效提取資料以饋送在外部晶片或裝置上代管之計算工作流程。特定實施方案可利用各種類型之DRAM,包含非同步DRAM、同步DRAM、圖形DRM及適合於應用之低功率DRM。總言之,輸出DRAM記憶體704促進自整合微儲存庫至外部執行管線之無縫資料移動。In some embodiments, output DRAM modules 704a, 704b, and 704c are designed to provide high-density, low-cost temporary data storage to support high-bandwidth, parallel reads from micro-storage array 708. Optimizing these performance parameters allows for efficient retrieval of data from the micro-storage to feed computational workflows hosted on external chips or devices. Certain implementations can utilize various types of DRAM, including asynchronous DRAM, synchronous DRAM, graphics DRM, and low-power DRM appropriate to the application. In summary, output DRAM memory 704 facilitates seamless data movement from the integrated micro-storage to the external execution pipeline.

圖8展示根據本發明之一實施例之包含若干記憶體類型之半導體裝置802、804、806、808、810、812、814之一總成800。明確而言,圖8展示經組態以提供一多層次記憶體結構之半導體裝置802、804、806、808、810、812、814之一例示性總成800。總成800係模組化且可擴展以允許堆疊各種組合及數目之半導體裝置(其等在某些實施例中可實施為小晶片)以滿足特定效能及密度要求。FIG8 shows an assembly 800 including semiconductor devices 802, 804, 806, 808, 810, 812, and 814 of several memory types according to an embodiment of the present invention. Specifically, FIG8 shows an exemplary assembly 800 of semiconductor devices 802, 804, 806, 808, 810, 812, and 814 configured to provide a multi-level memory structure. Assembly 800 is modular and scalable to allow stacking of various combinations and numbers of semiconductor devices (which, in some embodiments, may be implemented as chiplets) to meet specific performance and density requirements.

總成800包含一應用半導體802,其可為本文中所描述之複數個處理元件。包含一微儲存庫陣列之半導體裝置814在半導體裝置802之頂部上。亦可包含一微儲存庫陣列之半導體裝置812在半導體裝置814之頂部上。可為SRAM儲存庫晶粒之半導體裝置810、808在半導體裝置812之頂部上。可為DRAM儲存庫晶粒之半導體裝置806、804在半導體裝置808之頂部上。此等儲存庫816可依一網格狀方式配置,使得816a,a至816n,n下標儲存庫。此等儲存庫之各者可包含來自半導體裝置804、812之一各自微儲存庫、來自半導體裝置810、808之各自SRAM儲存庫及來自半導體裝置806、804之各自DRAM儲存庫。Assembly 800 includes an application semiconductor 802, which may be a plurality of processing elements described herein. A semiconductor device 814 comprising a micro-bank array is located on top of semiconductor device 802. A semiconductor device 812, which may also comprise a micro-bank array, is located on top of semiconductor device 814. Semiconductor devices 810 and 808, which may be SRAM bank dies, are located on top of semiconductor device 812. Semiconductor devices 806 and 804, which may be DRAM bank dies, are located on top of semiconductor device 808. These banks 816 may be arranged in a grid-like manner, such that banks 816a,a through 816n,n are indexed. Each of these repositories may include a respective microrepository from semiconductor devices 804, 812, a respective SRAM repositories from semiconductor devices 810, 808, and a respective DRAM repositories from semiconductor devices 806, 804.

半導體裝置802 (其包括複數個處理元件)位於總成800之基底處。此等處理元件執行計算任務且促進系統內之資料流動。Semiconductor device 802, which includes a plurality of processing elements, is located at the base of assembly 800. These processing elements perform computing tasks and facilitate data flow within the system.

半導體裝置814 (其包含一微儲存庫陣列)直接在半導體裝置802上方。此等微儲存庫利用以其非揮發性特性及高密度記憶體應用之適用性而聞名之場效電晶體(FeFET)。基於FeFET之微儲存庫可經設計以實現在處理任務(諸如AI推理)期間進行快速資料擷取所必不可少之高速讀取操作,同時支援更能容忍延時之較慢寫入操作。半導體裝置812 (其類似地包含一微儲存庫陣列)堆疊於半導體裝置814之頂部上。半導體裝置814及812中存在多層微儲存庫例示總成之可擴展性,其中可透過額外層整合額外記憶體容量及功能性。Directly above semiconductor device 802 is semiconductor device 814 (which includes an array of microbanks). These microbanks utilize field-effect transistors (FeFETs), known for their non-volatile properties and suitability for high-density memory applications. FeFET-based microbanks can be designed to achieve high-speed read operations, essential for rapid data retrieval during processing tasks such as AI inference, while also supporting slower write operations that are more tolerant of latency. Semiconductor device 812 (which similarly includes an array of microbanks) is stacked on top of semiconductor device 814. The presence of multiple layers of micro-storage in semiconductor devices 814 and 812 illustrates the scalability of the assembly, where additional memory capacity and functionality can be integrated through additional layers.

為進一步有助於記憶體層次,定位於半導體裝置812上方之半導體裝置810及808描繪為SRAM儲存庫晶粒。SRAM提供可充當下方之較慢但較密集FeFET微儲存庫記憶體層之一快取記憶體或緩衝器之快速存取記憶體。To further assist with the memory hierarchy, semiconductor devices 810 and 808 are depicted as SRAM bank dies positioned above semiconductor device 812. SRAM provides fast access memory that can act as a cache or buffer for the slower but denser FeFET micro-bank memory layer below.

半導體裝置806及804 (繪示為DRAM儲存庫晶粒)在總成800之頂部處且因此在記憶體結構之頂部處。DRAM歸因於其比SRAM相對更高之速度及更低之每位元成本而通常用於主記憶體以在效能與經濟性之間提供一平衡。Semiconductor devices 806 and 804 (shown as DRAM bank dies) are at the top of assembly 800 and therefore at the top of the memory structure. DRAM is commonly used for main memory due to its relatively higher speed and lower cost per bit than SRAM to provide a balance between performance and economy.

依一網格狀方式配置儲存庫816 (自816a,a至816n,n下標)指示半導體裝置802中之一給定位置(a,b)處之各處理元件具有對上方之層中之微儲存庫及記憶體格之對應垂直對準之儲存庫之專用存取。此垂直堆疊及對準確保資料及控制信號可在處理元件與其各自記憶體堆疊之間直接路由,由在總成800之3D積體電路架構中使用之諸如穿矽通路(TSV)及微凸塊之互連技術促進。Arranging memory banks 816 (subscripted 816a, a through 816n, n) in a grid-like fashion indicates that each processing element at a given location (a, b) in semiconductor device 802 has dedicated access to a corresponding vertically aligned memory bank in the micro-memory bank and memory cell in the layer above. This vertical stacking and alignment ensures that data and control signals can be routed directly between the processing element and its respective memory stack, facilitated by interconnect technologies such as through-silicon vias (TSVs) and microbumps used in the 3D integrated circuit architecture of assembly 800.

總成800之模組化及可擴展設計允許半導體裝置或小晶片之各種組合整合至更廣泛系統中。堆疊之數目及組合之靈活性提供使總成適應不同應用要求及效能需求之適應性。總成800內之儲存庫816內之各儲存庫呈現有助於系統之總體容量及效能之一多晶粒結構。The modular and scalable design of assembly 800 allows various combinations of semiconductor devices or chiplets to be integrated into a wider system. The flexibility in the number and combination of stacks provides the assembly with the adaptability to meet varying application requirements and performance demands. Each of the banks within bank 816 within assembly 800 exhibits a multi-die structure that contributes to the overall capacity and performance of the system.

圖9展示根據本發明之一實施例之包含具有一單晶片系統之一半導體裝置914及具有安置於頂部上之微儲存庫之另一半導體906之半導體裝置之一總成。總成900整合一半導體裝置906及一半導體裝置914,其等可實施為接合在一起之單獨小晶片。半導體裝置914包含用於促進自半導體裝置906上之微儲存庫讀取資料之各種組件,諸如一讀取位址暫存器輸入互連件924、讀取資料暫存器922及讀取資料暫存器輸出互連件950。此等組件將讀取位址傳遞至半導體裝置906上之微儲存庫及使讀取資料返回至半導體裝置914。FIG9 shows an assembly of a semiconductor device including a semiconductor device 914 having a single-chip system and another semiconductor 906 having a microstorage bank mounted on top, according to an embodiment of the present invention. Assembly 900 integrates semiconductor device 906 and semiconductor device 914, which can be implemented as separate small chips bonded together. Semiconductor device 914 includes various components for facilitating reading data from the microstorage bank on semiconductor device 906, such as a read address register input interconnect 924, read data register 922, and read data register output interconnect 950. These components pass the read address to the microstorage on semiconductor device 906 and return the read data to semiconductor device 914.

明確而言,讀取位址經由互連件924進入至讀取位址暫存器926中。此暫存器962之輸出透過互連件及無凸塊接合來連接至半導體裝置906上之另一讀取位址暫存器938,其接著定址目標微儲存庫936。微儲存庫936經由互連件940將讀取資料輸出至一讀取資料暫存器942,其透過無凸塊接合910、918將資料傳回至半導體裝置914上之讀取資料暫存器922。此資料接著可經由讀取資料暫存器輸出互連件950存取至外部。另外,半導體裝置914及906具有互連穿矽通路916及944以允許與可能堆疊於半導體裝置906上方之裝置通信。Specifically, the read address is entered into a read address register 926 via interconnect 924. The output of this register 962 is connected to another read address register 938 on semiconductor device 906 via interconnects and bumpless bonds, which in turn addresses the target micro-storage bank 936. Micro-storage bank 936 outputs the read data to a read data register 942 via interconnect 940, which transfers the data back to read data register 922 on semiconductor device 914 via bumpless bonds 910 and 918. This data can then be accessed externally via read data register output interconnect 950. Additionally, semiconductor devices 914 and 906 have interconnecting through-silicon vias 916 and 944 to allow communication with devices that may be stacked above semiconductor device 906.

半導體裝置906具有用於提供資料儲存能力之各種記憶體結構。此包含提供高密度、低延時資料儲存之一微儲存庫936以及其他周邊記憶體組件(如讀取資料暫存器942及讀取位址暫存器938)以促進資料讀取。微儲存庫936常駐於小晶片之BEOL部分上以允許記憶體層之密集3D整合。在一些實施方案中,微儲存庫利用非揮發性記憶體技術(如FeFET或STT-MRAM)以在無電力之情況下保持資料。Semiconductor device 906 has various memory structures for providing data storage capabilities. This includes a microstorage bank 936 that provides high-density, low-latency data storage, as well as other peripheral memory components (such as read data register 942 and read address register 938) to facilitate data access. Microstorage bank 936 is often located on the BEOL portion of a chiplet to allow for dense 3D integration of memory layers. In some embodiments, the microstorage bank utilizes non-volatile memory technology (such as FeFET or STT-MRAM) to retain data in the absence of power.

半導體裝置914包括用於擷取及操縱儲存於半導體裝置906中之資料之處理元件及資料路由電路系統。如讀取位址暫存器926及讀取資料暫存器922之組件分別處置發送讀取位址及自微儲存庫936接收資料。裝置914亦包含用於外部通信之互連件924、950及穿矽通路916。Semiconductor device 914 includes processing elements and data routing circuitry for retrieving and manipulating data stored in semiconductor device 906. Components such as read address register 926 and read data register 922 process sending read addresses and receiving data from micro-storage 936, respectively. Device 914 also includes interconnects 924, 950 and through-silicon vias 916 for external communications.

兩個裝置906及914經由如無凸塊混合接合908、910、918、920、930及932之精細間距互連件整合。此允許裝置914中之處理元件與裝置906中之記憶體結構之間的直接資料傳送路徑。接合期間之對準確保專用存取,例如,914上之讀取資料暫存器輸出互連件950直接鏈接至讀取資料暫存器922以接收請求資料。The two devices 906 and 914 are integrated via fine-pitch interconnects, such as bumpless hybrid bonds 908, 910, 918, 920, 930, and 932. This allows for direct data transfer paths between the processing elements in device 914 and the memory structures in device 906. Alignment during bonding ensures dedicated access, for example, the read data register output interconnect 950 on 914 is directly linked to the read data register 922 to receive the requested data.

在讀取期間促進資料流動之路徑可概述如下:一讀取位址透過互連件924進入至裝置914上之讀取位址暫存器926中。此經由互連件及無凸塊接合來傳送至裝置906上之讀取位址暫存器938,其接著定址微儲存庫936。請求資料經由互連件940傳遞至讀取資料暫存器942,接著透過接合來傳送回914上之讀取資料暫存器922,其中請求資料可經由互連件950供外部使用。The path that facilitates data flow during a read can be summarized as follows: A read address is entered into read address register 926 on device 914 via interconnect 924. This is passed via the interconnect and bumpless bonding to read address register 938 on device 906, which then addresses microstore 936. The request data is passed via interconnect 940 to read data register 942, which is then passed back via bonding to read data register 922 on 914, where it can be made available externally via interconnect 950.

總成900例示針對如AI推理之以資料為中心之應用最佳化之一模組化、高密度架構。經由先進封裝技術將處理及儲存晶粒緊密整合允許以最少延時及功率進行局部資料存取。亦藉由併入多個小晶片(在此情況中為裝置906及914)來實現可擴展性。總成900繪示適合於空間受約束之高效能計算系統之一潛在組態。Assembly 900 exemplifies a modular, high-density architecture optimized for data-centric applications such as AI inference. Advanced packaging technology allows for close integration of processing and storage dies, enabling localized data access with minimal latency and power. Scalability is also achieved by incorporating multiple chiplets (in this case, devices 906 and 914). Assembly 900 illustrates a potential configuration suitable for space-constrained high-performance computing systems.

穿矽通路(TSV) 916係垂直通過半導體裝置914之一電連接。其用途係提供使信號在頂部與半導體裝置914內之一處理元件之間行進之一路徑。此允許裝置依一垂直組態與其他組件堆疊及互連。TSV 916與裝置上之其他TSV一起促進多個裝置(如小晶片)之高密度3D整合及異構堆疊。Through-silicon via (TSV) 916 is an electrical connection that passes vertically through semiconductor device 914. Its purpose is to provide a path for signals to travel between the top and a processing element within semiconductor device 914. This allows the device to be stacked and interconnected with other components in a vertical configuration. TSV 916, along with other TSVs on the device, facilitates high-density 3D integration and heterogeneous stacking of multiple devices (such as chiplets).

TSV 916與系統內之若干其他組件相互作用。在半導體裝置914之頂側上,其連接至互連件912,互連件將其耦合至無凸塊接合918。當兩個裝置堆疊時,此等接合與半導體裝置906之底側上之互補無凸塊接合910介接。此允許信號透過TSV 916自裝置914行進至裝置906。當信號通過互連件902至裝置906上之TSV 944時,路由繼續。TSV 944提供至其中可堆疊額外裝置之裝置906之頂面之一垂直信號路徑。在相反方向上,信號可自TSV 944向下行進通過裝置906,沿TSV 916返回,且向下至裝置914中。因此,TSV 916提供橫跨裝置邊界之雙向垂直通信。TSVs 916 interact with several other components within the system. On the top side of semiconductor device 914, it connects to interconnect 912, which couples it to bumpless bonds 918. When the two devices are stacked, these bonds interface with complementary bumpless bonds 910 on the bottom side of semiconductor device 906. This allows signals to travel from device 914 to device 906 through TSVs 916. Routing continues when the signal passes through interconnect 902 to TSVs 944 on device 906. TSVs 944 provide a vertical signal path to the top surface of device 906 where additional devices can be stacked. In the reverse direction, signals can travel from TSV 944 down through device 906, back along TSV 916, and down into device 914. Thus, TSV 916 provides bidirectional vertical communication across the device boundary.

TSV 916實施方案存在幾個可行變動。首先,可使用配置成一陣列之多個TSV來代替一單一通路以增加處理量及冗餘。其次,可最佳化TSV之尺寸及材料,例如,使用如鎢之較緻密材料之較小TSV直徑可為有利的。另外,將信號驅動至TSV中之介面電路系統(如互連件912及902)可採用可變線驅動器來支援不同電壓位準或信號完整性增強。進一步實施例可包含TSV 916內之積體監測電路系統以追蹤如溫度及鏈路利用率之度量。且在未來情況中,可採用除電信號之外的替代信令方案。例如,利用調變光透過TSV傳送資料之整合矽光子學可實現非常高頻寬及低延時連接性。存在多種途徑來進一步開發基於TSV之垂直鏈路(如此等複雜3D整合架構內之TSV 916)之能力。There are several possible variations on the TSV 916 implementation. First, multiple TSVs configured in an array can be used instead of a single via to increase throughput and redundancy. Second, the size and material of the TSVs can be optimized. For example, a smaller TSV diameter using a denser material such as tungsten can be advantageous. Additionally, the interface circuitry that drives the signals into the TSVs (such as interconnects 912 and 902) can employ variable line drivers to support different voltage levels or signal integrity enhancements. Further embodiments may include integrated monitoring circuitry within TSVs 916 to track metrics such as temperature and link utilization. And in future scenarios, alternative signaling schemes other than electrical signals may be employed. For example, integrated silicon photonics that uses modulated light to transmit data through TSVs can achieve very high-bandwidth and low-latency connectivity. There are multiple paths to further develop the capabilities of TSV-based vertical links (such as TSV 916 in these complex 3D integration architectures).

互連件912實施方案存在若干變動及替代方案。例如,可利用諸如銅或鋁之不同導電材料來製造形成互連件912之路徑且最佳化傳導性或散熱。另外,互連件912可具有冗餘信號路徑或使用備用互連線之自修復能力以提高可靠性及彈性。連接裝置906及914之無凸塊接合918及910亦可用其他高密度接合方法(如混合接合或穿矽通路)替換。此外,可在互連件912上採用除簡單數位邏輯之外的替代信令方案(諸如類比信令或多級數位波形)以增強資料傳輸能力。亦可根據頻寬要求或電路佈局考量來調適互連件912之路由及尺寸。總言之,存在用於製作互連件912以滿足應用需求之諸多結構及功能替代方案。There are several variations and alternatives to the interconnect 912 implementation. For example, the paths forming the interconnect 912 can be fabricated using different conductive materials, such as copper or aluminum, and optimized for conductivity or heat dissipation. Additionally, the interconnect 912 can have redundant signal paths or self-healing capabilities using spare interconnects to improve reliability and resilience. The bumpless joints 918 and 910 of the connecting devices 906 and 914 can also be replaced with other high-density joint methods, such as hybrid joints or through-silicon vias. Furthermore, alternative signaling schemes other than simple digital logic, such as analog signaling or multi-level digital waveforms, can be used on the interconnect 912 to enhance data transmission capabilities. The routing and size of the interconnect 912 can also be adjusted based on bandwidth requirements or circuit layout considerations. In summary, there are many structural and functional alternatives for making interconnect 912 to meet application requirements.

無凸塊接合918係一互連件912與半導體裝置906之無凸塊接合910之間的位於半導體裝置914上之電連接。無凸塊接合918提供使信號在半導體裝置914與堆疊於總成900之頂部上之任何額外半導體裝置(諸如半導體裝置906)之間行進之一電路徑。通過無凸焊接合918傳送之信號可包含資料信號、控制信號、位址信號或協調多個半導體裝置之間的操作所需之任何其他信號。Bumpless bond 918 is an electrical connection between interconnect 912 and bumpless bond 910 of semiconductor device 906 on semiconductor device 914. Bumpless bond 918 provides an electrical path for signals to travel between semiconductor device 914 and any additional semiconductor devices stacked on top of assembly 900, such as semiconductor device 906. Signals transmitted through bumpless bond 918 may include data signals, control signals, address signals, or any other signals required to coordinate operations between multiple semiconductor devices.

無凸塊接合918存在若干可行變動。取決於信號頻寬要求,個別接合位點之數目可在自僅幾個至幾百個之範圍內。接合方法可利用如直接接合、電漿活化接合、黏著劑接合或壓縮接合之技術。混合接合方法亦可行,其將直接晶圓接合與中間金屬接合組合。各接合位點之大小及節距可變動且可使用小於10微米之節距以實現高密度連接。冗餘接合可提供備用路徑。屏蔽結構可環繞用於抗雜訊之接合。總言之,無凸塊接合918之諸多實施例可滿足成本、可靠性及效能需求。There are several possible variations of bumpless bonding 918. Depending on the signal bandwidth requirements, the number of individual bonding sites can range from just a few to hundreds. The bonding method can utilize techniques such as direct bonding, plasma activated bonding, adhesive bonding, or compression bonding. Hybrid bonding methods are also possible, which combine direct wafer bonding with intermediate metal bonding. The size and pitch of each bonding site can be varied and a pitch of less than 10 microns can be used to achieve high-density connections. Redundant bonding can provide backup paths. Shielding structures can be used around the bonding for noise immunity. In summary, many embodiments of bumpless bonding 918 can meet cost, reliability, and performance requirements.

無凸塊接合910提供用於在半導體裝置906與半導體裝置914之間傳送信號之一介面。明確而言,半導體裝置906之無凸塊接合910電耦合至半導體裝置914之互補無凸塊接合918。此允許如讀取/寫入資料及位址之信號在兩個裝置之間傳輸。接合之無凸塊性允許一低輪廓、高密度互連。Bumpless bond 910 provides an interface for transmitting signals between semiconductor device 906 and semiconductor device 914. Specifically, bumpless bond 910 of semiconductor device 906 is electrically coupled to a complementary bumpless bond 918 of semiconductor device 914. This allows signals such as read/write data and addresses to be transmitted between the two devices. The bumpless nature of the bond allows for a low-profile, high-density interconnect.

無凸塊接合910與系統中之其他組件相互作用以促進資料傳送操作。針對寫入,資料經由穿矽通路916進入半導體裝置914,在到達裝置906之無凸塊接合910之前通過互連件912及無凸塊接合918。針對讀取,位址自裝置914之讀取位址暫存器926透過互連件928、930及無凸塊接合932流動至裝置906上之讀取位址暫存器938中。讀取資料接著透過無凸塊接合908及920返回至裝置914。因此,無凸塊接合910在裝置之間提供關鍵資料及位址路由。Bumpless bond 910 interacts with other components in the system to facilitate data transfer operations. For writes, data enters semiconductor device 914 through through-silicon via 916, passes through interconnect 912 and bumpless bond 918 before reaching bumpless bond 910 on device 906. For reads, the address flows from read address register 926 on device 914 through interconnects 928, 930, and bumpless bond 932 to read address register 938 on device 906. The read data then returns to device 914 through bumpless bonds 908 and 920. Thus, bumpless bond 910 provides critical data and address routing between devices.

無凸塊接合910之可行變動包含使用不同接合密度、材料或電接觸組態來最佳化效能。接合可使用合金化或摻雜技術來改良導電性。另外,可改變信號之路由,例如藉由使用輸入及輸出之單獨埠而非共用埠。可添加更多無凸塊接合以增大裝置之間的頻寬。可圍繞接合添加屏蔽以減少干擾。總言之,可在使多個裝置電互連之範疇內對無凸塊接合910進行諸多修改。Possible variations of bumpless joint 910 include using different joint densities, materials, or electrical contact configurations to optimize performance. The joint can use alloying or doping techniques to improve conductivity. In addition, the routing of signals can be changed, such as by using separate ports for input and output rather than shared ports. More bumpless joints can be added to increase bandwidth between devices. Shielding can be added around the joint to reduce interference. In summary, many modifications can be made to bumpless joint 910 within the scope of electrically interconnecting multiple devices.

穿矽通路(TSV) 944係自頂面至底面垂直通過半導體裝置906之一電連接。其用途係促進信號及資料在半導體裝置906與在一3D積體電路組態中可能堆疊於其頂部上之任何額外半導體裝置之間傳送。TSV 944實現多個堆疊半導體層之間的高密度互連以提供用於資料路由及信令之一高效方式。Through-silicon vias (TSVs) 944 are electrical connections that pass vertically through semiconductor device 906 from the top to the bottom. They facilitate the transfer of signals and data between semiconductor device 906 and any additional semiconductor devices that may be stacked atop it in a 3D integrated circuit configuration. TSVs 944 enable high-density interconnects between multiple stacked semiconductor layers, providing an efficient method for data routing and signaling.

TSV 944與半導體裝置906內之周圍電路系統介接以允許信號取決於系統組態而向上或向下傳輸。在一端上,TSV 944經由互連件946耦合至讀取資料暫存器942。讀取資料暫存器942可使用TSV 944路徑來將讀取資料自微儲存庫936傳送至外部半導體裝置。此實現自晶片上記憶體之高效資料卸載。在另一端上,TSV 944繼續通過至半導體裝置906之頂面,其中TSV 944可與其上方之接合半導體上之互補接點或互連件介接。此促進信號及資料沿總成900垂直傳送。The TSVs 944 interface with the surrounding circuitry within the semiconductor device 906 to allow signals to be transmitted upward or downward, depending on the system configuration. On one end, the TSVs 944 are coupled to the read data register 942 via interconnects 946. The read data register 942 can use the TSV 944 path to transmit read data from the microstorage 936 to the external semiconductor device. This enables efficient data offloading from the on-chip memory. On the other end, the TSVs 944 continue through to the top surface of the semiconductor device 906, where the TSVs 944 can interface with complementary contacts or interconnects on the bonded semiconductor above it. This facilitates the vertical transmission of signals and data along the assembly 900.

TSV 944之特定實施方案中可存在諸多變動。其尺寸可在自幾微米至幾十微米之範圍內以匹配節距要求。TSV 944可為錐形、筆直或具有不均勻橫截面。其可利用不同導電材料作為襯層及填料,包含如銅、鎢或合金之金屬。由如二氧化矽之材料製成之絕緣襯層可將導電填料與基板分離。耦合至TSV 944中之接點及互連件亦可具有不同佈局。根據期望,多個TSV可依一高密度陣列組態彼此相鄰放置。總言之,TSV 944之設計及製程中之諸多架構最佳化可在本發明之範疇內。There are many variations in the specific implementation of TSV 944. Its size can range from a few microns to tens of microns to match pitch requirements. TSV 944 can be tapered, straight, or have a non-uniform cross-section. It can utilize different conductive materials as a liner and filler, including metals such as copper, tungsten, or alloys. An insulating liner made of a material such as silicon dioxide can separate the conductive filler from the substrate. The contacts and interconnects coupled to TSV 944 can also have different layouts. If desired, multiple TSVs can be placed adjacent to each other in a high-density array configuration. In summary, many architectural optimizations in the design and manufacturing process of TSV 944 are within the scope of the present invention.

圖10展示根據本發明之一實施例之併入操作地連接至一多工器1060且由用於協調資料選擇及擷取之一計數器1062管理之微儲存庫1036、1058之一菊鏈組態之一半導體總成1000。此總成1000經設計以執行資料處理任務,可能用於其中利用高速資料存取及處理之諸如人工智慧(AI)及機器學習之應用。FIG10 shows a semiconductor assembly 1000 incorporating a daisy-chain configuration of micro-storage banks 1036 and 1058 operatively connected to a multiplexer 1060 and managed by a counter 1062 for coordinating data selection and retrieval, according to an embodiment of the present invention. This assembly 1000 is designed to perform data processing tasks, potentially for applications such as artificial intelligence (AI) and machine learning that utilize high-speed data access and processing.

總成1000包括兩個主要半導體裝置:半導體裝置1006及半導體裝置1014。半導體裝置1014經描繪為含有用於資料通信之若干介面及暫存器,包含一讀取位址暫存器輸入互連件1024。此互連件1024促進將讀取位址傳送至一讀取位址暫存器1026,其在讀取位址傳輸至半導體裝置1006中之對應微儲存庫1036、1058用於資料擷取操作之前暫時保存此等位址。Assembly 1000 includes two main semiconductor devices: semiconductor device 1006 and semiconductor device 1014. Semiconductor device 1014 is depicted as containing several interfaces and registers for data communication, including a read address register input interconnect 1024. This interconnect 1024 facilitates the transfer of read addresses to a read address register 1026, which temporarily stores the read addresses before they are transferred to corresponding micro-banks 1036, 1058 in semiconductor device 1006 for data retrieval operations.

在半導體裝置1014中,互連件1028充當使讀取位址自讀取位址暫存器1026過渡至無凸塊接合1030之一路徑。無凸塊接合1030及1032表示半導體裝置1014與半導體裝置1006之間的高密度、低輪廓電連接以確保以最小信號損失及實體空間要求傳輸讀取位址。In semiconductor device 1014, interconnect 1028 serves as a path for transitioning the read address from read address register 1026 to bumpless bond 1030. Bumpless bonds 1030 and 1032 represent high-density, low-profile electrical connections between semiconductor device 1014 and semiconductor device 1006 to ensure that the read address is transmitted with minimal signal loss and physical space requirements.

透過互連件1034,接收位址到達半導體裝置1006中之讀取位址暫存器1038,其接著指導微儲存庫1036輸出請求讀取資料。微儲存庫1036 (一記憶體儲存單元)可涵蓋各種記憶體技術(諸如本文中所描述之FeFET及/或3D-NAND結構)以促進資料之儲存及快速擷取。The received address reaches the read address register 1038 in the semiconductor device 1006 via interconnect 1034, which then instructs the micro-storage bank 1036 to output a request to read the data. The micro-storage bank 1036 (a memory storage unit) can encompass a variety of memory technologies (such as FeFET and/or 3D-NAND structures described herein) to facilitate storage and fast retrieval of data.

多工器1060自多個微儲存庫1036、1058輸出選擇適當資料流。由可根據一預界定序列操作或由外部控制信號驅動之一計數器1062控制,多工器1060在微儲存庫1036與另一微儲存庫(標示為微儲存庫1058)之輸出之間仲裁。在功能及潛在記憶體技術上類似於微儲存庫1036之微儲存庫1058為多工器1060提供一額外資料源供選擇。Multiplexer 1060 selects the appropriate data stream from the outputs of multiple micro-banks 1036 and 1058. Controlled by a counter 1062, which can operate according to a predefined sequence or be driven by an external control signal, multiplexer 1060 arbitrates between the outputs of micro-bank 1036 and another micro-bank (designated micro-bank 1058). Micro-bank 1058, similar in function and underlying memory technology to micro-bank 1036, provides multiplexer 1060 with an additional data source to choose from.

一旦多工器1060選擇所要資料,其即暫時儲存於亦位於半導體裝置1006內之一讀取資料暫存器1042中。此暫存器1042充當一緩衝器以保存資料用於後續處理或傳輸。讀取資料接著經由互連件1004路由至無凸塊接合1008,其促進資料傳送至半導體裝置1014。Once the multiplexer 1060 selects the desired data, it is temporarily stored in a read data register 1042, also located within the semiconductor device 1006. This register 1042 acts as a buffer to hold the data for subsequent processing or transmission. The read data is then routed via the interconnect 1004 to the bumpless bond 1008, which facilitates data transfer to the semiconductor device 1014.

無凸塊接合1010、1018促進連續資料行程通過總成1000以確保資料自半導體裝置1006傳送至半導體裝置1014。一旦讀取資料到達半導體裝置1014,其即經由互連件1048導引至一讀取資料暫存器,明確而言,讀取資料暫存器1022,其中讀取資料可由外部系統(諸如一專用積體電路(ASIC)或一單晶片系統(SoC))經由讀取資料暫存器輸出互連件1050存取。Bumpless bonds 1010, 1018 facilitate continuous data travel through assembly 1000 to ensure data is transferred from semiconductor device 1006 to semiconductor device 1014. Once the read data reaches semiconductor device 1014, it is directed via interconnect 1048 to a read data register, specifically, read data register 1022, where it can be accessed by an external system, such as an application specific integrated circuit (ASIC) or a system on a chip (SoC), via read data register output interconnect 1050.

另外,總成1000涵蓋穿矽通路(TSV) 1016及1044以分別提供通過半導體裝置1014及1006之垂直電連接。此等TSV實現額外半導體裝置或小晶片堆疊於總成1000之頂部上,因此允許系統之能力垂直擴展。互連件1012及1046充當使信號分別來回行進於TSV 1016及1044之水平路徑。Additionally, assembly 1000 includes through-silicon vias (TSVs) 1016 and 1044 to provide vertical electrical connections through semiconductor devices 1014 and 1006, respectively. These TSVs enable additional semiconductor devices or die to be stacked on top of assembly 1000, thereby allowing the system's capabilities to be expanded vertically. Interconnects 1012 and 1046 serve as horizontal pathways for signals to travel to and from TSVs 1016 and 1044, respectively.

儘管描述呈現一特定組態,但總成1000可經受各種修改及替代實施例。例如,微儲存庫之數目及配置、用於微儲存庫內之記憶體技術之特定類型及互連件及接合區域之組態可經調適以滿足不同應用之要求。Although the description presents a particular configuration, assembly 1000 is susceptible to various modifications and alternative embodiments. For example, the number and arrangement of micro-storage banks, the specific type of memory technology used within the micro-storage banks, and the configuration of interconnects and bonding areas can be adapted to meet the requirements of different applications.

在一些實施例中,半導體裝置1006及1014可經設計以容納額外功能性,諸如用於散熱之熱管理層、用於資料安全性之基於硬體之加密模組或用於最佳化能耗之功率管理電路。因此,圖10之詳細結構充當可在其上建構各種複雜半導體系統之一基礎,各複雜半導體系統適合於其預期應用之特定需要。In some embodiments, semiconductor devices 1006 and 1014 can be designed to accommodate additional functionality, such as a thermal management layer for heat dissipation, a hardware-based encryption module for data security, or power management circuitry for optimizing energy consumption. Thus, the detailed structure of FIG10 serves as a foundation upon which a variety of complex semiconductor systems can be built, each tailored to the specific needs of its intended application.

在圖10中所描繪之總成1000之組態中,由微儲存庫1036及1058例示之微儲存庫呈現一菊鏈組態,其允許半導體裝置1006內之一可擴展且靈活記憶體架構。此菊鏈透過一系列互連路徑來促進且由與計數器1062協調之多工器1060控制。10 , the micro-banks exemplified by micro-banks 1036 and 1058 present a daisy-chain configuration that allows for a scalable and flexible memory architecture within semiconductor device 1006. This daisy-chain is facilitated by a series of interconnecting paths and controlled by multiplexer 1060 in coordination with counter 1062.

各微儲存庫(諸如1036及1058)經設計以保存且提供對資料之快速存取,資料可呈儲存電荷、磁性狀態、鐵電材料狀態或二進位資訊之其他實體實施例之形式。微儲存庫經互連使得一個微儲存庫之輸出可路由至另一微儲存庫之輸入以創建一記憶體元件鏈。此透過一系列互連件達成,諸如用於微儲存庫1036之互連件1034及用於微儲存庫1058之互連件1056,其等充當自微儲存庫發出之讀取資料信號之導管。Each micro-storage bank (such as 1036 and 1058) is designed to store and provide fast access to data, which may be in the form of stored charge, magnetic state, ferroelectric material state, or other physical embodiments of binary information. The micro-storage banks are interconnected so that the output of one micro-storage bank can be routed to the input of another micro-storage bank to create a chain of memory elements. This is achieved through a series of interconnects, such as interconnect 1034 for micro-storage bank 1036 and interconnect 1056 for micro-storage bank 1058, which act as conduits for the read data signals emitted from the micro-storage banks.

多工器1060管理來自此微儲存庫菊鏈之資料之流動。其經設計有多個輸入,其等各經由各自互連件連接至一微儲存庫之輸出。在所提供之實例中,互連件1040載送來自微儲存庫1036之讀取資料,且互連件1056將來自微儲存庫1058之讀取資料載送至多工器1060。多工器1060能夠在任何給定時間選擇某一輸入連接至其輸出,因此控制該微儲存庫之資料轉發至讀取資料暫存器1042。Multiplexer 1060 manages the flow of data from this micro-storage daisy chain. It is designed with multiple inputs, each connected to a micro-storage output via its own interconnect. In the example provided, interconnect 1040 carries read data from micro-storage 1036, and interconnect 1056 carries read data from micro-storage 1058 to multiplexer 1060. Multiplexer 1060 can select which input is connected to its output at any given time, thereby controlling the forwarding of data from that micro-storage to read data register 1042.

計數器1062編排多工器1060之操作。其可為二進位計數器或回應於一時脈信號而產生一系列輸出狀態之任何形式之時序邏輯電路。計數器1062隨著時脈之各滴答而透過其狀態進展,時脈可由一外部時脈源提供或在半導體裝置1006內部產生。隨著計數器1062推進,其輸出指示多工器1060選擇哪個輸入之一控制信號。Counter 1062 orchestrates the operation of multiplexer 1060. It can be a binary counter or any form of sequential logic circuitry that generates a series of output states in response to a clock signal. Counter 1062 advances through its state with each tick of the clock, which can be provided by an external clock source or generated internally within semiconductor device 1006. As counter 1062 advances, its output provides a control signal that indicates which input of multiplexer 1060 is selected.

例如,在第一時脈脈衝處,計數器1062可指示多工器1060將來自微儲存庫1036之輸出連接至讀取資料暫存器1042。在下一時脈脈衝處,計數器可將連接切換至微儲存庫1058之輸出,等等,依一預界定順序循環通過可用微儲存庫。計數器之序列及時序可基於所要資料存取模式及手頭上之處理任務之特定要求來組態。For example, at the first clock pulse, counter 1062 may instruct multiplexer 1060 to connect the output from micro-storage bank 1036 to read data register 1042. At the next clock pulse, the counter may switch the connection to the output of micro-storage bank 1058, and so on, cycling through the available micro-storage banks in a predefined order. The sequence and timing of the counters can be configured based on the desired data access pattern and the specific requirements of the processing task at hand.

此時脈驅動協調允許自一可能大型微儲存庫陣列高效且有組織地擷取資料。其確保各微儲存庫具有一平等機會來呈現其資料供處理,且其藉由將其減少至一可預測、有節奏之進展狀態來簡化控制方案。此在其中必須並行處理大量資料之系統中特別有利,因為其提供用於存取及利用儲存資訊之一系統方法。Pulse-driven coordination allows for efficient and organized data retrieval from a potentially large array of micro-repositories. It ensures that each micro-repository has an equal opportunity to present its data for processing, and it simplifies the control scheme by reducing it to a predictable, rhythmic progression. This is particularly advantageous in systems where large amounts of data must be processed in parallel, as it provides a systematic approach to accessing and utilizing stored information.

應注意,儘管圖10僅繪示兩個微儲存庫,但所描述之菊鏈機構可經擴展以容納任何任意數目個微儲存庫。額外微儲存庫可添加至鏈,其中各新微儲存庫經由一額外輸入線連接至多工器。多工器1060及計數器1062將相應地擴展以管理增加數目之輸入以橫跨擴展記憶體架構維持相同時脈驅動之序列資料擷取程序。It should be noted that although Figure 10 shows only two micro-banks, the daisy-chain structure described can be expanded to accommodate any arbitrary number of micro-banks. Additional micro-banks can be added to the chain, with each new micro-bank connected to the multiplexer via an additional input line. Multiplexer 1060 and counter 1062 will be scaled accordingly to manage the increased number of inputs to maintain a synchronously pulsed sequential data acquisition process across the expanded memory architecture.

此微儲存庫菊鏈結合多工及計數器驅動控制系統來例示半導體裝置中之記憶體設計之一模組化及可擴展方法。其允許客製化記憶體陣列以匹配自嵌入式系統至大型資料中心之各種應用之容量及效能需求以給現代計算挑戰提供一通用解決方案。This microstorage daisy-chain combined with a multiplexer and counter-driven control system exemplifies a modular and scalable approach to memory design in semiconductor devices. It allows customizing memory arrays to match the capacity and performance requirements of a wide range of applications, from embedded systems to large data centers, providing a versatile solution to modern computing challenges.

圖11展示根據本發明之一實施例之在多個半導體裝置1106、1116中併入操作地連接至多工器且由用於協調資料選擇及擷取之計數器管理之微儲存庫之一菊鏈組態之一半導體總成1100。FIG11 shows a semiconductor assembly 1100 incorporating a daisy-chain configuration of a plurality of semiconductor devices 1106, 1116 into a microstorage bank operatively connected to a multiplexer and managed by a counter for coordinating data selection and retrieval according to an embodiment of the present invention.

本詳細描述係關於附圖之圖11,其繪示作為一積體電路之部分之一總成1100之一實施例。總成1100可被視為包含一底部半導體裝置1122、一中間半導體裝置1116及一頂部半導體裝置1106 (此等之各者可為小晶片)之一多層次結構。各半導體裝置經組態以透過一系列無凸塊接合(諸如無凸塊接合1128、1129、1158、1159、1160、1161、1162及1163)與其他半導體裝置介接,其促進電連接性且無需傳統接合方法之額外輪廓,因此實現半導體層之一緊湊且密集堆疊。This detailed description relates to FIG. 11 of the accompanying drawings, which illustrates an embodiment of an assembly 1100 as part of an integrated circuit. Assembly 1100 can be viewed as a multi-layer structure including a bottom semiconductor device 1122, a middle semiconductor device 1116, and a top semiconductor device 1106 (each of which can be a small chip). Each semiconductor device is configured to interface with other semiconductor devices via a series of bumpless bonds (e.g., bumpless bonds 1128, 1129, 1158, 1159, 1160, 1161, 1162, and 1163), which facilitates electrical connectivity without the additional outline of traditional bonding methods, thereby achieving a compact and dense stacking of semiconductor layers.

底部半導體裝置1122包含一讀取位址暫存器1126,其可經組態以儲存讀取位址且將其傳送至橫跨總成1100定位之微儲存庫。讀取位址暫存器1126經由一互連件1174通信,互連件1174充當導引至無凸塊接合1128之信號之一導管。此等接合繼而與中間半導體裝置1116之無凸塊接合1129接合,因此將讀取位址傳送至中間半導體裝置中。底部半導體裝置1122亦包括一讀取資料暫存器1124,其可充當所接收之讀取資料之一存放庫。讀取資料透過連接至無凸塊接合1158之一互連件1176接收,無凸塊接合1158與中間半導體裝置1116之無凸塊接合1159通信。The bottom semiconductor device 1122 includes a read address register 1126 that can be configured to store read addresses and transmit them to a micro-repository located across the assembly 1100. The read address register 1126 communicates via an interconnect 1174 that acts as a conduit for signals directed to bumpless bonds 1128. These bonds, in turn, bond to bumpless bonds 1129 of the middle semiconductor device 1116, thereby transmitting the read address to the middle semiconductor device. The bottom semiconductor device 1122 also includes a read data register 1124 that can serve as a repository for the received read data. The read data is received via an interconnect 1176 connected to the bumpless bond 1158 , which communicates with the bumpless bond 1159 of the middle semiconductor device 1116 .

中間半導體裝置1116充當總成1100內之一中間層以收容諸如微儲存庫1132及微儲存庫1118之微儲存庫,其等之各者可經設計以儲存及快速提供對資料之存取。此等微儲存庫經由互連件(諸如互連件1130及互連件1134,其等分別引導讀取位址及讀取資料之流動)鏈接至裝置內之其他組件。中間半導體裝置1116亦具有自互連件1130接收讀取位址之一讀取位址暫存器1146及自TSV 1152收集讀取資料之一讀取資料暫存器1154。Intermediate semiconductor device 1116 serves as an intermediate layer within assembly 1100, housing microstorage banks, such as microstorage bank 1132 and microstorage bank 1118, each of which can be designed to store and quickly provide access to data. These microstorage banks are linked to other components within the device via interconnects, such as interconnect 1130 and interconnect 1134, which direct the flow of read addresses and read data, respectively. Intermediate semiconductor device 1116 also has a read address register 1146 that receives read addresses from interconnect 1130 and a read data register 1154 that collects read data from TSVs 1152.

中間半導體裝置1116內之多工器1114在各種資料流之間選擇。此多工器由一相位計數器1110控制,相位計數器1110基於經由TSV 1152自頂部半導體裝置1106接收之輸入來判定資料選擇序列。讀取資料暫存器1112充當來自多工器1114之選定資料流之一保存區域。A multiplexer 1114 within the middle semiconductor device 1116 selects between the various data streams. This multiplexer is controlled by a phase counter 1110, which determines the data selection sequence based on input received from the top semiconductor device 1106 via TSVs 1152. A read data register 1112 serves as a storage area for the selected data stream from the multiplexer 1114.

頂部半導體裝置1106具有一相位計數器1102及一讀取資料暫存器1104,其等用於協調總成1100內之資料流動。相位計數器1102結合多工器1150基於選定相位來指示自微儲存庫1138及1164輸出讀取資料。讀取資料暫存器1104捕捉來自多工器1150之輸出,其接著透過互連件1108中繼至無凸塊接合1162以促進與中間半導體裝置1116通信。The top semiconductor device 1106 has a phase counter 1102 and a read data register 1104, which are used to coordinate the flow of data within the assembly 1100. The phase counter 1102, in conjunction with the multiplexer 1150, directs the output of read data from the micro-storage banks 1138 and 1164 based on the selected phase. The read data register 1104 captures the output from the multiplexer 1150, which is then relayed via the interconnect 1108 to the bumpless bond 1162 to facilitate communication with the middle semiconductor device 1116.

總成1100繪示橫跨不同半導體裝置整合多個微儲存庫。例如,中間半導體裝置1116上之微儲存庫1132可經由一互連件1134 (路徑「1」)自讀取位址暫存器1146接收讀取位址。類似地,微儲存庫1118可經由一互連件1156 (路徑「2」)自相同暫存器接收讀取位址。頂部半導體裝置1106上之微儲存庫1138及1164分別經由互連件1140及路徑「3」及「4」自讀取位址暫存器1142接收讀取位址,讀取位址暫存器1142經由TSV 1136及無凸塊接合1160及1161與中間半導體裝置1116通信。Assembly 1100 illustrates the integration of multiple micro-banks across different semiconductor devices. For example, micro-bank 1132 on intermediate semiconductor device 1116 can receive a read address from read address register 1146 via interconnect 1134 (path "1"). Similarly, micro-bank 1118 can receive a read address from the same register via interconnect 1156 (path "2"). Micro-repositories 1138 and 1164 on the top semiconductor device 1106 receive read addresses from a read address register 1142 via interconnect 1140 and paths "3" and "4," respectively. The read address register 1142 communicates with the middle semiconductor device 1116 via TSV 1136 and bumpless joints 1160 and 1161.

各微儲存庫(諸如1132、1118、1138及1164)可潛在地將讀取資料輸出至多工器1114或1150,其中資料接著基於各自相位計數器1110或1102之組態來選擇。在透過各自無凸塊接合及互連件沿總成1100向下傳輸以最終到達底部半導體裝置1122之讀取資料暫存器1124之前,選定資料暫時儲存於一讀取資料暫存器(1112或1104)中。此配置允許自所有微儲存庫同步讀出資料,此在需要並行處理及高速資料存取之應用中可為必不可少的。Each micro-bank (e.g., 1132, 1118, 1138, and 1164) can potentially output read data to multiplexer 1114 or 1150, where the data is then selected based on the configuration of the respective phase counter 1110 or 1102. The selected data is temporarily stored in a read data register (1112 or 1104) before being transmitted down the assembly 1100 through the respective bumpless bonds and interconnects to ultimately reach the read data register 1124 of the bottom semiconductor device 1122. This configuration allows data to be read out simultaneously from all micro-banks, which can be essential in applications requiring parallel processing and high-speed data access.

TSV (諸如1136及1152)提供橫跨半導體裝置之垂直連接性以實現額外層之整合或既有總成1100之頂部上之功能性。此等TSV耦合至各種互連件及無凸塊接合,其等建立在半導體裝置內及半導體裝置之間進行信號傳輸所需之路徑。TSVs (such as 1136 and 1152) provide vertical connectivity across the semiconductor device to enable integration of additional layers or functionality on top of the existing assembly 1100. These TSVs couple to various interconnects and bumpless joints that create the necessary pathways for signal transmission within and between semiconductor devices.

在一些實施例中,總成1100內之微儲存庫可包含各種記憶體技術(諸如3D-NAND或3D-NOR結構)且經配置以促進並行處理及高效資料擷取。各微儲存庫可包含額外特徵,諸如用於散熱之熱管理層、用於資料安全性之基於硬體之加密模組或用於最佳化能耗之功率管理電路。In some embodiments, the micro-storage banks within assembly 1100 may include various memory technologies (such as 3D-NAND or 3D-NOR structures) and be configured to facilitate parallel processing and efficient data retrieval. Each micro-storage bank may include additional features such as a thermal management layer for heat dissipation, a hardware-based encryption module for data security, or power management circuitry for optimizing energy consumption.

總成1100內之資料路徑1例示讀取位址及對應讀取資料橫跨總成傳輸通過之一路線,明確而言,將操作自位於底部半導體裝置1122上之讀取位址暫存器1126導引至相同裝置內之讀取資料暫存器1124。Data path 1 within assembly 1100 illustrates a route through which read addresses and corresponding read data are transmitted across the assembly, specifically, directing operations from a read address register 1126 located on the bottom semiconductor device 1122 to a read data register 1124 within the same device.

此程序開始於讀取位址暫存器1126保存一特定讀取位址。此位址透過充當信號之一通道之互連件1174發送。讀取位址接著傳輸至無凸塊接合1128,無凸塊接合1128經精心設計以創建一可靠電連接且沒有與傳統接合方法相關聯之實體突起。此等接合確保保持半導體堆疊之緊湊性之一低輪廓介面。The process begins with a specific read address stored in read address register 1126. This address is sent via interconnect 1174, which acts as a channel for the signal. The read address is then transferred to bumpless bonds 1128, which are carefully designed to create a reliable electrical connection without the physical protrusions associated with traditional bonding methods. These bonds ensure a low-profile interface that maintains the compactness of the semiconductor stack.

信號自無凸塊接合1128繼續以與中間半導體裝置1116之無凸塊接合1129接合。讀取位址由互連件1130向前載送,互連件1130將位址傳送至中間半導體裝置之讀取位址暫存器1146。讀取位址暫存器1146繼而透過互連件1134 (標示為將信號引導至微儲存庫1132之路徑「1」)傳播讀取位址。The signal continues from bumpless bond 1128 to bond with bumpless bond 1129 of middle semiconductor device 1116. The read address is carried forward by interconnect 1130, which transmits the address to read address register 1146 of middle semiconductor device. Read address register 1146 then propagates the read address through interconnect 1134 (labeled as path "1" which directs the signal to microbank 1132).

在接收到讀取位址時,微儲存庫1132存取請求資料。此資料接著透過互連件1170輸出且導引至多工器1114。在一些實施例中,多工器1114充當基於由相位計數器1110判定之組態在資料流之間選擇之一選擇性開關。此相位計數器可經設計以循環通過指示資料流之時序及選擇之一序列以確保各微儲存庫依一協調方式讀取。Upon receiving a read address, micro-bank 1132 accesses the requested data. This data is then output via interconnect 1170 and directed to multiplexer 1114. In some embodiments, multiplexer 1114 acts as a selective switch that selects between data streams based on the configuration determined by phase counter 1110. This phase counter can be designed to cycle through a sequence indicating the timing and selection of the data stream to ensure that each micro-bank is read in a coordinated manner.

來自多工器1114之選定資料接著由暫時保存資料之讀取資料暫存器1112捕捉。資料隨後經由互連件1120發送,互連件1120將信號載送至無凸塊接合1159。此等接合係一複雜電互連系統之部分,其與底部半導體裝置1122上之無凸塊接合1158一起實現半導體堆疊內之垂直及水平整合。The selected data from multiplexer 1114 is then captured by read data register 1112, which temporarily stores the data. The data is then sent through interconnect 1120, which carries the signal to bumpless bonds 1159. These bonds are part of a complex electrical interconnect system that, along with bumpless bonds 1158 on the bottom semiconductor device 1122, enable vertical and horizontal integration within the semiconductor stack.

現呈讀取資料之形式之信號自無凸塊接合1159橫穿至無凸塊接合1158且最終被引入至互連件1176中。此互連件完成至讀取資料暫存器1124之連接,讀取資料暫存器1124經組態以接收及保存讀取資料。讀取資料暫存器1124可經配備以保持資料用於後續處理或外部通信。The signal, now in the form of read data, traverses from bumpless bond 1159 to bumpless bond 1158 and is ultimately introduced into interconnect 1176. This interconnect completes the connection to read data register 1124, which is configured to receive and store the read data. Read data register 1124 can be configured to hold the data for subsequent processing or external communication.

總成1100內之資料路徑2劃界一路線,其經專門設計以用於將讀取位址自底部半導體裝置1122上之讀取位址暫存器1126傳輸至位於中間半導體裝置1116上之微儲存庫1118及隨後將讀取資料傳回至底部裝置上之讀取資料暫存器1124。Data path 2 within assembly 1100 defines a route specifically designed to transfer a read address from a read address register 1126 on the bottom semiconductor device 1122 to the microstorage 1118 on the middle semiconductor device 1116 and then transfer the read data back to the read data register 1124 on the bottom device.

行程在讀取位址暫存器1126處開始,其中保存一讀取位址以為分派做準備。此暫存器係半導體裝置之控制機構之一部分,其藉由向記憶體單元發出特定位址來編排資料之擷取。讀取位址自讀取位址暫存器1126透過互連件1174發送,互連件1174為積體電路內之電信號提供一安全且可靠路徑。The process begins at read address register 1126, which holds a read address in preparation for dispatch. This register is part of the semiconductor device's control mechanism and orchestrates the retrieval of data by issuing specific addresses to memory cells. The read address is sent from read address register 1126 via interconnect 1174, which provides a safe and reliable path for electrical signals within the integrated circuit.

讀取位址自互連件1174繼續至無凸塊接合1128,其提供經由對應無凸塊接合1129至中間半導體裝置1116之一無縫及低輪廓連接。此等接合在層間通信期間維持信號之完整性且經設計以適應現代半導體架構之要求。The read address continues from interconnect 1174 to bumpless bond 1128, which provides a seamless and low-profile connection to the middle semiconductor device 1116 via corresponding bumpless bond 1129. These bonds maintain signal integrity during inter-layer communication and are designed to accommodate the requirements of modern semiconductor architectures.

信號接著經由互連件1130引導至中間半導體裝置1116內之讀取位址暫存器1146。讀取位址暫存器1146充當一輔助儲存及保存暫存器,自此,讀取位址沿互連件1156 (標記為路徑「2」,其終止於微儲存庫1118)向下導引。The signal is then directed via interconnect 1130 to read address register 1146 within intermediate semiconductor device 1116. Read address register 1146 acts as a secondary storage and retention register, from which the read address is directed down interconnect 1156 (labeled path "2" which terminates at micro-repository 1118).

在接收到讀取位址時,微儲存庫1118存取對應資料。此資料擷取程序由微儲存庫之內部架構促進,內部架構可包括針對快速存取及資料穩定性最佳化之一記憶體格陣列。讀取資料自微儲存庫1118輸出且行進通過互連件1172,其通向多工器1114。Upon receiving a read address, micro-storage 1118 accesses the corresponding data. This data retrieval process is facilitated by the micro-storage's internal architecture, which may include a memory array optimized for fast access and data stability. The read data is output from micro-storage 1118 and travels through interconnect 1172, which leads to multiplexer 1114.

多工器1114基於來自相位計數器1110之輸入來判定將轉發哪個資料流。相位計數器1110與系統時脈或一外部控制信號同步操作以循環通過各種狀態以依一精確且可預測方式控制多工器1114之選擇程序。Multiplexer 1114 determines which data stream to forward based on the input from phase counter 1110. Phase counter 1110 operates in synchronization with the system clock or an external control signal to cycle through various states to control the selection process of multiplexer 1114 in a precise and predictable manner.

現載送選定讀取資料之多工器1114之輸出傳送至讀取資料暫存器1112。此暫存器暫時儲存讀取資料以充當一緩衝器。讀取資料接著經由互連件1120朝向無凸塊接合1159分派。The output of multiplexer 1114, now carrying the selected read data, is sent to read data register 1112. This register temporarily stores the read data, acting as a buffer. The read data is then dispatched via interconnect 1120 toward bumpless bond 1159.

無凸塊接合1159與底部半導體裝置1122上之無凸塊接合1158形成介面,其中信號透過總成向下傳輸。讀取資料接著穿過互連件1176以到達其最終目的地:讀取資料暫存器1124。讀取資料暫存器1124捕捉讀取資料以保存其來準備用於進一步處理或傳輸至外部電路。Bumpless bond 1159 interfaces with bumpless bond 1158 on bottom semiconductor device 1122, where signals are transmitted downward through the assembly. The read data then passes through interconnect 1176 to its final destination: read data register 1124. Read data register 1124 captures the read data and stores it for further processing or transmission to external circuitry.

總成1100內之資料路徑3係另一通信路線,其繪示自底部半導體裝置1122上之讀取位址暫存器1126通過各種組件最終至頂部半導體裝置1106上之微儲存庫1138且接著返回至底部裝置上之讀取資料暫存器1124之資料傳送序列。Data path 3 within assembly 1100 is another communication route that illustrates the data transfer sequence from the read address register 1126 on the bottom semiconductor device 1122 through various components to the microstorage 1138 on the top semiconductor device 1106 and then back to the read data register 1124 on the bottom device.

序列在充當讀取位址之原點之讀取位址暫存器1126處開始。在位址透過互連件1174分派之前,暫存器1126安全地保存位址。互連件1174充當一專用通道以確保讀取位址精確傳送至無凸塊接合1128。此等無凸塊接合1128促進至中間半導體裝置1116之無凸塊接合1129之一流線型連接以保持信號路徑之完整性及緊湊性。The sequence begins at the read address register 1126, which serves as the origin for the read address. Register 1126 safely holds the address until it is dispatched via interconnect 1174. Interconnect 1174 acts as a dedicated channel to ensure the read address is accurately transmitted to the bumpless bonds 1128. These bumpless bonds 1128 facilitate a streamlined connection to the bumpless bonds 1129 of the intermediate semiconductor device 1116 to maintain the integrity and compactness of the signal path.

在到達中間半導體裝置1116時,讀取位址透過互連件1130中繼至讀取位址暫存器1146。讀取位址暫存器1146充當透過穿矽通路(TSV) 1136進一步傳播位址信號之一接合點。TSV 1136係穿透半導體基板之一垂直互連件,其提供自中間半導體裝置1116至頂部半導體裝置1106之一直接鏈路,因此例示半導體設計之3D整合能力。Upon reaching the middle semiconductor device 1116, the read address is relayed to the read address register 1146 via interconnect 1130. The read address register 1146 serves as a junction for further propagation of the address signal via through-silicon via (TSV) 1136. TSV 1136 is a vertical interconnect that penetrates the semiconductor substrate, providing a direct link from the middle semiconductor device 1116 to the top semiconductor device 1106, thereby exemplifying the 3D integration capability of semiconductor designs.

讀取位址自TSV 1136上升且出現在中間半導體裝置1116上之無凸塊接合1160上。無凸塊接合1160連接至頂部半導體裝置1106之無凸塊接合1161。位址信號透過互連件1140傳導至頂部裝置1106上之讀取位址暫存器1142。The read address rises from TSV 1136 and appears on bumpless bond 1160 on middle semiconductor device 1116. Bumpless bond 1160 connects to bumpless bond 1161 on top semiconductor device 1106. The address signal is conducted through interconnect 1140 to read address register 1142 on top device 1106.

讀取位址暫存器1142在接收到讀取位址時沿互連件1144導引信號。此路徑(標示為「3」)將位址引導至微儲存庫1138。經設計用於資料儲存之微儲存庫1138回應於讀取位址而擷取請求資訊。讀取資料透過互連件1166 (其將資料饋送至多工器1150中)輸出。Read address register 1142 directs a signal along interconnect 1144 upon receiving a read address. This path (labeled "3") directs the address to micro-storage 1138. Micro-storage 1138, designed for data storage, retrieves the request information in response to the read address. The read data is output via interconnect 1166, which feeds the data into multiplexer 1150.

頂部半導體裝置1106中之多工器1150由相位計數器1102管控,相位計數器1102指示引導至讀取資料暫存器1104之資料流之選擇。選定資料流暫時收容於讀取資料暫存器1104中,其中資料流等待向下游傳輸。The multiplexer 1150 in the top semiconductor device 1106 is controlled by the phase counter 1102, which indicates the selection of the data stream directed to the read data register 1104. The selected data stream is temporarily stored in the read data register 1104, where it awaits transmission downstream.

讀取資料經由連接至無凸塊接合1162之互連件1108離開讀取資料暫存器1104。此等接合1162與中間半導體裝置1116上之對應無凸塊接合1163接合以將讀取資料傳送至TSV 1152。Read data leaves the read data register 1104 via interconnects 1108 connected to bumpless bonds 1162. These bonds 1162 bond to corresponding bumpless bonds 1163 on the middle semiconductor device 1116 to transfer the read data to the TSVs 1152.

TSV 1152作為一垂直導管操作以允許讀取資料向下穿至中間半導體裝置1116中,其中讀取資料由讀取資料暫存器1154接收。讀取資料暫存器1154在讀取資料透過互連件1156導引至多工器1114之前暫時保存讀取資料。TSV 1152 operates as a vertical conduit to allow read data to pass down to middle semiconductor device 1116, where the read data is received by read data register 1154. Read data register 1154 temporarily stores the read data before it is directed to multiplexer 1114 via interconnect 1156.

中間半導體裝置1116中之多工器1114在相位計數器1110之協調下選擇適合於輸出之資料。讀取資料接著引導至讀取資料暫存器1112,讀取資料暫存器1112中短暫儲存讀取資料。此後,讀取資料經由互連件1120行進至無凸塊接合1159。Multiplexer 1114 in intermediate semiconductor device 1116 selects the data suitable for output in coordination with phase counter 1110. The read data is then directed to read data register 1112, where it is temporarily stored. The read data then travels through interconnect 1120 to bumpless bond 1159.

無凸塊接合1159與底部半導體裝置1122上之無凸塊接合1158形成一介面。讀取資料信號接著透過互連件1176載送以在底部裝置上之讀取資料暫存器1124處結束其行程。Bumpless bond 1159 forms an interface with bumpless bond 1158 on bottom semiconductor device 1122. The read data signal is then carried through interconnect 1176 to terminate at read data register 1124 on the bottom device.

總成1100內之資料路徑4係建立自底部半導體裝置1122上之讀取位址暫存器1126至位於頂部半導體裝置1106上之微儲存庫1164之讀取位址流動之一路徑,且隨後促進讀取資料向下移動回底部裝置上之讀取資料暫存器1124。Data path 4 within assembly 1100 establishes a path for read address flow from the read address register 1126 on the bottom semiconductor device 1122 to the micro-storage 1164 located on the top semiconductor device 1106, and then facilitates the movement of read data back down to the read data register 1124 on the bottom device.

此資料路徑開始於讀取位址暫存器1126,其負責保存及發出自微儲存庫擷取資料所需之讀取位址。讀取位址自暫存器1126透過互連件1174 (維持信號完整性且促進電通信之一路徑)發送。This data path begins at the read address register 1126, which is responsible for storing and issuing the read address required to retrieve data from the microstorage. The read address is sent from register 1126 through interconnect 1174 (a path that maintains signal integrity and facilitates electrical communication).

讀取位址自互連件1174導引至無凸塊接合1128。此等接合區域透過無凸塊接合1129在底部半導體裝置1122與中間半導體裝置1116之間創建一互連。此等無凸塊接合之設計促進資料傳輸。The read address is routed from interconnect 1174 to bumpless bonds 1128. These bond areas create an interconnect between bottom semiconductor device 1122 and middle semiconductor device 1116 via bumpless bonds 1129. The design of these bumpless bonds facilitates data transfer.

一旦讀取位址到達中間半導體裝置1116,其即藉由互連件1130向前載送至讀取位址暫存器1146。此暫存器充當一中間媒介以使位址準備垂直上升通過裝置堆疊。位址接著經由穿矽通路(TSV) 1136傳輸,TSV 1136藉由提供通過半導體基板之一直接電鏈路來促進垂直整合。Once the read address reaches the middle semiconductor device 1116, it is carried forward via interconnect 1130 to the read address register 1146. This register acts as an intermediary to prepare the address for vertical ascent through the device stack. The address is then transmitted via through-silicon vias (TSVs) 1136, which facilitate vertical integration by providing a direct electrical link through the semiconductor substrate.

在透過TSV 1136上升之後,讀取位址出現在無凸塊接合1160上,無凸塊接合1160經對準以與頂部半導體裝置1106上之無凸塊接合1161連接。讀取位址接著沿互連件1140前進至位於頂部裝置上之讀取位址暫存器1142。After rising through TSV 1136, the read address appears on bumpless bond 1160, which is aligned to connect with bumpless bond 1161 on top semiconductor device 1106. The read address then travels along interconnect 1140 to read address register 1142 located on the top device.

讀取位址暫存器1142用於透過互連件1148 (標記為路徑「4」)將讀取位址轉發至其最終目的地,微儲存庫1164。微儲存庫1164在接收到讀取位址時擷取請求資料,請求資料接著透過互連件1168輸出。此資料經導引至多工器1150,其在相位計數器1102之控制下。Read address register 1142 is used to forward the read address to its final destination, micro-repository 1164, via interconnect 1148 (labeled as path "4"). Micro-repository 1164, upon receiving the read address, retrieves the request data, which is then output via interconnect 1168. This data is directed to multiplexer 1150, which is under the control of phase counter 1102.

相位計數器1102判定哪個資料流由多工器1150選擇,多工器1150接著將讀取資料發送至讀取資料暫存器1104。讀取資料暫存器1104充當一暫時存放庫以保存資料直至其可透過裝置堆疊向下發送。Phase counter 1102 determines which data stream is selected by multiplexer 1150, which then sends the read data to read data register 1104. Read data register 1104 acts as a temporary storage repository to hold the data until it can be sent down through the device stack.

資料離開讀取資料暫存器1104且經由互連件1108行進至無凸塊接合1162。此等接合維持至中間半導體裝置1116上之無凸塊接合1163之一連接。讀取資料接著傳送至TSV 1152,其將資料垂直向下載送至中間半導體裝置1116上之讀取資料暫存器1154。The data leaves the read data register 1104 and travels through interconnect 1108 to bumpless bonds 1162. These bonds maintain a connection to bumpless bonds 1163 on the middle semiconductor device 1116. The read data is then transferred to TSVs 1152, which carry the data vertically downward to the read data register 1154 on the middle semiconductor device 1116.

讀取資料暫存器1154在讀取資料經由互連件1156饋送至多工器1114之前暫時保存讀取資料。由相位計數器1110協調之多工器1114將適當資料流引導至讀取資料暫存器1112。此暫存器充當讀取資料之一預備區域,讀取資料接著透過互連件1120發送至無凸塊接合1159。Read data register 1154 temporarily stores read data before it is fed to multiplexer 1114 via interconnect 1156. Multiplexer 1114, coordinated by phase counter 1110, directs the appropriate data stream to read data register 1112. This register serves as a reserve area for read data, which is then sent to bumpless bond 1159 via interconnect 1120.

無凸塊接合1159與底部半導體裝置1122上之無凸塊接合1158介接以提供讀取資料之一向下傳輸。最後,信號路由通過互連件1176且到達讀取資料暫存器1124,其中資料可用於後續處理或外部通信。Bumpless bond 1159 interfaces with bumpless bond 1158 on bottom semiconductor device 1122 to provide a downward transmission of read data. Finally, the signal is routed through interconnect 1176 and reaches read data register 1124, where the data can be used for subsequent processing or external communication.

圖12繪示根據本發明之一實施例之組態為一3D-NOR或3D-AND結構之三維(3D)記憶體行1200,其具有含鏈接至一共同選擇線1212之互連汲極端子1204及連接至各自讀取/寫入啟用線1214之個別閘極端子1206 (例如用於FeFET 1202a之1214a,所有耦合至一共同位元線)之一系列鐵電場效電晶體(FeFET) 1202。FIG12 illustrates a three-dimensional (3D) memory row 1200 configured as a 3D-NOR or 3D-AND structure having a series of ferroelectric field effect transistors (FeFETs) 1202 having interconnected drain terminals 1204 linked to a common select line 1212 and individual gate terminals 1206 (e.g., 1214 a for FeFETs 1202 a, all coupled to a common bit line) connected to respective read/write enable lines 1214, according to one embodiment of the present invention.

因此,圖12描繪標示為元件1200之三維(3D)記憶體行,其可在各種實施例中組態為一3D-NOR或3D-AND結構以在積體電路之應用及使用中提供靈活性。此記憶體行係統稱為fefet 1202之多個鐵電場效電晶體(FeFET)之一總成,其中各FeFET由諸如1202a、1202b、1202c及1202d等之可能存在於陣列中之元件指示。12 depicts a three-dimensional (3D) memory row, designated as element 1200, which can be configured in various embodiments as a 3D-NOR or 3D-AND structure to provide flexibility in integrated circuit applications and usage. This memory row is an assembly of a plurality of ferroelectric field-effect transistors (FeFETs), collectively referred to as FeFETs 1202, where each FeFET is indicated by an element such as 1202a, 1202b, 1202c, and 1202d, which may be present in the array.

在各FeFET (諸如1202a)內,存在一汲極端子1204a。此汲極端子係記憶體格之輸出路徑之部分且連接至一共同選擇線1212。在一些實施例中,共用選擇線1212充當能夠選擇一特定FeFET用於資料讀取或寫入操作之一控制機構。Within each FeFET (e.g., 1202a), there is a drain terminal 1204a. This drain terminal is part of the output path of the memory cell and is connected to a common select line 1212. In some embodiments, the common select line 1212 acts as a control mechanism that can select a specific FeFET for data read or write operations.

各FeFET之閘極端子(由FeFET 1202a之1206a例示)個別地連接至一各自讀取/寫入啟用線(諸如1214a)。此能夠控制FeFET之狀態以允許其處於用於讀取或寫入資料之一導電(接通)狀態或處於用於防止資料流動之一非導電(切斷)狀態中。各FeFET之個別讀取/寫入線之存在可允許精確控制及操作各記憶體格。The gate terminal of each FeFET (exemplified by 1206a of FeFET 1202a) is individually connected to a separate read/write enable line (e.g., 1214a). This controls the state of the FeFET, allowing it to be in a conductive (on) state for reading or writing data, or in a non-conductive (off) state to prevent data flow. The presence of separate read/write lines for each FeFET allows for precise control and operation of each memory cell.

再者,各FeFET (諸如1202a)包括耦合至一共同位元線1210之一源極端子(諸如1208a)。位元線1210提供用於資料寫入至FeFET或自FeFET讀取之一導管。在一些實施例中,此位元線可橫跨多個記憶體行共用,其可促進並行處理及增加資料處理量。Furthermore, each FeFET (e.g., 1202a) includes a source terminal (e.g., 1208a) coupled to a common bit line 1210. Bit line 1210 provides a conduit for writing data to or reading data from the FeFET. In some embodiments, this bit line can be shared across multiple memory rows, which can facilitate parallel processing and increase data throughput.

根據本發明之各種實施例,3D記憶體行1200可併入額外元件及組態以增強效能及功能性。例如,3D記憶體行1200可包含絕緣材料、導電路徑及圖12中未明確展示但為此等3D記憶體結構之實施方案固有之其他結構組件。FeFET 1202亦可在材料組成、結構尺寸及電性質方面展現變動以有助於適合於不同應用之一系列效能特性。According to various embodiments of the present invention, 3D memory row 1200 may incorporate additional components and configurations to enhance performance and functionality. For example, 3D memory row 1200 may include insulating materials, conductive traces, and other structural components not explicitly shown in FIG. 12 but inherent to these 3D memory structure implementations. FeFETs 1202 may also exhibit variations in material composition, structural dimensions, and electrical properties to facilitate a range of performance characteristics suitable for different applications.

此外,記憶體行1200可併入至較大記憶體陣列中以形成一記憶體模組或系統之部分。此等陣列可配置成各種組態(諸如列及行)以創建高效解決高密度資料儲存需求之一矩陣。記憶體行1200亦可與其他電路元件及控制邏輯(其等可管控記憶體陣列之操作,包含資料管理協定、錯誤校正演算法及功率最佳化策略)介接。Furthermore, memory rows 1200 can be incorporated into larger memory arrays to form part of a memory module or system. These arrays can be arranged in various configurations (e.g., rows and columns) to create a matrix that efficiently addresses high-density data storage needs. Memory rows 1200 can also interface with other circuit components and control logic that manage the operation of the memory array, including data management protocols, error correction algorithms, and power optimization strategies.

在一些實施例中,記憶體行1200可使用先進半導體製造技術(諸如光微影、蝕刻、沈積及平坦化程序)來製造。用於FeFET之材料(包含鐵電材料、半導體通道及導電元件)之選擇可基於所要電特性(諸如電荷保持、切換速度及能量效率)來選擇。In some embodiments, memory row 1200 can be fabricated using advanced semiconductor fabrication techniques such as photolithography, etching, deposition, and planarization processes. The materials used for FeFETs, including ferroelectric materials, semiconductor channels, and conductive elements, can be selected based on desired electrical properties such as charge retention, switching speed, and energy efficiency.

如圖12中所繪示,3D記憶體行1200可包含由各種材料製造之諸如1202之FeFET,其等提供達成所要功能性所需之電及物理性質。例如,在一些實施例中,FeFET 1202中之各FeFET之通道層可由諸如氧化銦鎵鋅(IGZO)或如氧化鋅錫或氧化銦鎢(IWO)之其他非晶氧化物半導體(AOS)之材料建構。此等材料根據其電子性質(例如載子遷移率及穩定性)選擇。As shown in FIG12 , 3D memory row 1200 may include FeFETs, such as 1202, fabricated from a variety of materials that provide the electrical and physical properties necessary to achieve desired functionality. For example, in some embodiments, the channel layer of each FeFET in FeFET 1202 may be constructed from materials such as indium gallium zinc oxide (IGZO) or other amorphous oxide semiconductors (AOS) such as zinc tin oxide or indium tungsten oxide (IWO). These materials are selected based on their electronic properties, such as carrier mobility and stability.

剛性耦合至各FeFET中之通道層之鐵電材料可包括氧化鉿鋯(HfZrO 2)或其他過渡金屬氧化物、鈣鈦礦等。此等鐵電材料根據其在施加一電場時維持一極化狀態之能力選擇,其用於FeFET之非揮發性記憶體特性。鐵電層之厚度、結晶結構及化學計量可經控制以達成所要矯頑電壓、剩餘極化及用於可靠資料儲存及擷取之其他電參數。 The ferroelectric material rigidly coupled to the channel layer in each FeFET can include ferroelectric zirconium oxide ( HfZrO2 ) or other transition metal oxides, calcium titanium, etc. These ferroelectric materials are selected for their ability to maintain a polarization state when an electric field is applied, which is used for the non-volatile memory properties of the FeFET. The thickness, crystal structure, and stoichiometry of the ferroelectric layer can be controlled to achieve the desired threshold voltage, residual polarization, and other electrical parameters for reliable data storage and retrieval.

FeFET 1202之汲極端子1204及源極端子1208分別連接至共同選擇線1212及共同位元線1210。此等共同線可由諸如鎢、氮化鈦或為電信號提供低電阻路徑之其他金屬及金屬合金之導電材料形成。此等端子及其各自共同線之組態確保在操作期間可有效存取及控制FeFET。The drain terminal 1204 and source terminal 1208 of FeFET 1202 are connected to a common select line 1212 and a common bit line 1210, respectively. These common lines can be formed from conductive materials such as tungsten, titanium nitride, or other metals and metal alloys that provide a low-resistance path for electrical signals. The configuration of these terminals and their respective common lines ensures efficient access and control of the FeFET during operation.

各閘極端子(諸如FeFET 1202a之閘極1206)連接至其各自讀取/寫入啟用線(諸如1214a)。閘極端子幫助控制FeFET之狀態,且為此等端子選擇之材料可包含可提供與鐵電材料之一可靠電介面之各種導電材料。讀取/寫入啟用線1214經設計以向FeFET 1202之閘極1206傳送適合電壓位準用於在狀態之間切換。Each gate terminal (e.g., gate 1206 of FeFET 1202a) is connected to its respective read/write enable line (e.g., 1214a). The gate terminals help control the state of the FeFET, and the materials chosen for these terminals can include various conductive materials that provide a reliable electrical interface with ferroelectric materials. Read/write enable line 1214 is designed to deliver a suitable voltage level to gate 1206 of FeFET 1202 for switching between states.

記憶體行1200整體經設計以支援一系列操作參數。在一些實施例中,此等參數可包含(但不限於)小於10^-8安培/立方厘米之一切斷狀態電流、大於10^-7安培/立方厘米之一接通狀態電流及儘管存在鐵電層但維持之一通道遷移率。通道層之厚度可小於30 nm以確保高裝置密度,同時鐵電層之特性(諸如矯頑電壓及剩餘極化)經最佳化以提供所需記憶體功能性。Memory row 1200 is designed overall to support a range of operating parameters. In some embodiments, these parameters may include, but are not limited to, an off-state current less than 10^-8 amps/cm3, an on-state current greater than 10^-7 amps/cm3, and a channel mobility maintained despite the presence of the ferroelectric layer. The channel layer thickness can be less than 30 nm to ensure high device density, while the properties of the ferroelectric layer (such as the threshold voltage and residual polarization) are optimized to provide the desired memory functionality.

在一些實施例中,FeFET 1202可包含額外材料或摻雜物以增強其電性質。例如,可將諸如鎵(Ga)、銦(In)或鋅(Zn)之摻雜物引入至通道層中以調變載子濃度或調整FeFET之臨限電壓。類似地,鐵電層可包含如鑭(La)或鈮(Nb)之摻雜物以調整其鐵電性質。In some embodiments, FeFET 1202 may include additional materials or dopants to enhance its electrical properties. For example, dopants such as gallium (Ga), indium (In), or zinc (Zn) may be introduced into the channel layer to modulate carrier concentration or adjust the threshold voltage of the FeFET. Similarly, the ferroelectric layer may include dopants such as lumen (La) or niobium (Nb) to adjust its ferroelectric properties.

在其他實施例中,3D記憶體行1200可與額外半導體裝置及結構整合以形成複雜記憶體系統。此等系統可提供儲存能力且支援各種記憶體架構。In other embodiments, 3D memory bank 1200 may be integrated with additional semiconductor devices and structures to form complex memory systems that can provide storage capabilities and support a variety of memory architectures.

圖13描繪組態為一3D-NAND結構之三維(3D)記憶體行1300,其由各具有源極端子1304及汲極端子1308之鐵電場效電晶體(FeFET) 1302之一垂直堆疊組成。各FeFET之源極1304 (諸如FeFET 1302a之1304a)耦合至一位元線1310之起點或連接至前一FeFET之汲極,由耦合至FeFET 1302a之汲極1308a之FeFET 1302b之源極1304b例示。根據本發明之一實施例,各FeFET包含連接至一各自讀取/寫入啟用線(由FeFET 1302a之1314a繪示)之一閘極1306 (諸如FeFET 1302a之1306a)。13 depicts a three-dimensional (3D) memory row 1300 configured as a 3D-NAND structure, consisting of a vertical stack of ferroelectric field-effect transistors (FeFETs) 1302, each having a source terminal 1304 and a drain terminal 1308. The source 1304 of each FeFET (e.g., 1304a of FeFET 1302a) is coupled to the start of a bit line 1310 or to the drain of the previous FeFET, as exemplified by the source 1304b of FeFET 1302b coupled to the drain 1308a of FeFET 1302a. According to one embodiment of the present invention, each FeFET includes a gate 1306 (such as 1306a of FeFET 1302a) connected to a respective read/write enable line (illustrated by 1314a of FeFET 1302a).

3D記憶體行1300由一系列垂直堆疊場效電晶體(FeFET)(共同識別為FeFET 1302)組成。包含FeFET 1302a、1302b、1302c、1302d等之此等電晶體之特徵在於其在其閘極結構內併入鐵電材料。系列中之各FeFET屬於記憶體行,有助於裝置之記憶體儲存能力。3D memory row 1300 consists of a series of vertically stacked FeFETs (FeFETs), collectively identified as FeFETs 1302. These transistors, including FeFETs 1302a, 1302b, 1302c, and 1302d, are characterized by the incorporation of ferroelectric material within their gate structures. Each FeFET in the series belongs to a memory row and contributes to the device's memory storage capabilities.

在所描繪之實施例中,諸如FeFET 1302a之各FeFET包含耦合至一位元線1310之一源極端子1304,例如源極1304a。位元線1310充當用於自與FeFET 1302a相關聯之記憶體格讀取及寫入至記憶體格之電信號之一導管。在其中FeFET 1302a不是行中之最下電晶體之情境中,其源極1304b可連接至前一緊接FeFET (諸如FeFET 1302a)之汲極1308a以促進界定垂直NAND架構之一串列連接。In the depicted embodiment, each FeFET, such as FeFET 1302a, includes a source terminal 1304, such as source 1304a, coupled to a bit line 1310. Bit line 1310 serves as a conduit for electrical signals read from and written to the memory cell associated with FeFET 1302a. In the case where FeFET 1302a is not the bottom transistor in the row, its source 1304b can be connected to the drain 1308a of the immediately preceding FeFET (such as FeFET 1302a) to facilitate defining a series connection for a vertical NAND architecture.

FeFET 1302內之各FeFET進一步配備有一閘極端子1306,由FeFET 1302a之閘極1306a例示。此閘極端子1306耦合至一各自讀取/寫入啟用線,由FeFET 1302a之1314a例示。讀取/寫入啟用線1314a負責控制FeFET之狀態以允許其傳導或阻止電流通過裝置,藉此實現資料之寫入或讀取。Each FeFET within FeFET 1302 is further equipped with a gate terminal 1306, exemplified by gate 1306a of FeFET 1302a. This gate terminal 1306 is coupled to a respective read/write enable line, exemplified by 1314a of FeFET 1302a. Read/write enable line 1314a controls the state of the FeFET, allowing it to conduct or prevent current flow through the device, thereby enabling data to be written or read.

再者,FeFET 1302之各FeFET亦包含通常連接至垂直堆疊中之後續FeFET之源極之一汲極端子1308,諸如FeFET 1302a之汲極1308a。此配置確保儲存於閘極之鐵電材料中之電荷可調變自源極流動至汲極之電流以允許資料之儲存及擷取。Furthermore, each FeFET of FeFET 1302 also includes a drain terminal 1308 that is typically connected to the source of the subsequent FeFET in the vertical stack, such as drain 1308a of FeFET 1302a. This configuration ensures that the charge stored in the ferroelectric material of the gate can modulate the current flowing from the source to the drain to allow data storage and retrieval.

圖13內之記憶體行1300指示可用於自可攜式電子器件至企業級資料儲存系統之各種應用中之一記憶體架構。在一些實施例中,用於FeFET中之鐵電材料可包含可摻雜有諸如鑭或釔之元素以根據需要調整鐵電性質之各種組合物,諸如氧化鉿、氧化鋯或其等之任何組合。Memory row 1300 in Figure 13 indicates a memory architecture that can be used in a variety of applications, from portable electronic devices to enterprise-class data storage systems. In some embodiments, the ferroelectric material used in the FeFET can include various compositions, such as einsteinium oxide, zirconium oxide, or any combination thereof, which can be doped with elements such as lumen or yttrium to adjust the ferroelectric properties as desired.

在一些變型中,3D記憶體行1300可併入增強效能、可靠性或可製造性之額外特徵。例如,FeFET 1302可包含保護層以屏蔽鐵電材料免受環境因數或程序誘發損壞。行1300亦可與其他電路元件(諸如電容器或二極體)整合以促進如電荷幫浦之操作或在記憶體陣列內提供額外功能性。In some variations, 3D memory row 1300 may incorporate additional features that enhance performance, reliability, or manufacturability. For example, FeFET 1302 may include a protective layer to shield the ferroelectric material from environmental factors or process-induced damage. Row 1300 may also be integrated with other circuit elements (such as capacitors or diodes) to facilitate operations such as charge pumping or provide additional functionality within the memory array.

3D記憶體行1300可由賦予特定電性質以增強裝置效能之各種材料製造。在一些實施例中,各FeFET之通道層可由諸如氧化銦鎵鋅(IGZO)之材料形成。用於通道層之其他材料可包含非晶氧化物半導體(AOS),如氧化鋅錫或氧化鋁鋅。3D memory row 1300 can be fabricated from a variety of materials that impart specific electrical properties to enhance device performance. In some embodiments, the channel layer of each FeFET can be formed from a material such as indium gallium zinc oxide (IGZO). Other materials used for the channel layer can include amorphous oxide semiconductors (AOS), such as zinc tin oxide or aluminum zinc oxide.

FeFET 1302內之鐵電層可包括諸如氧化鉿鋯(HfZrO 2)之材料。可透過如原子層沈積(ALD)之方法控制鐵電層之厚度及材料組成以達成所要矯頑電壓、剩餘極化及耐久性特性。在一些實施方案中,可將鐵電層之矯頑電壓調諧為在-3伏特至+3伏特之間以促進記憶體裝置之低電壓操作。 The ferroelectric layer within FeFET 1302 can include materials such as ferrozirconium oxide (HfZrO 2 ). The thickness and material composition of the ferroelectric layer can be controlled by methods such as atomic layer deposition (ALD) to achieve desired threshold voltage, residual polarization, and durability characteristics. In some embodiments, the threshold voltage of the ferroelectric layer can be tuned between -3 volts and +3 volts to facilitate low-voltage operation of the memory device.

FeFET 1302之源極及汲極端子可由諸如鎢或氮化鈦之導電材料組成。此等材料亦可經選擇以最佳化與通道層之接觸電阻以降低總功耗且改良裝置之Ion/Ioff比。The source and drain terminals of the FeFET 1302 can be composed of conductive materials such as tungsten or titanium nitride. These materials can also be selected to optimize the contact resistance with the channel layer to reduce overall power consumption and improve the device's Ion/Ioff ratio.

另外,FeFET 1302可經工程設計以展現特定電參數。例如,在一些實施例中,通道層之厚度可小於30 nm。在一些實施例中,通道層可演示10^17/立方厘米至10^20/立方厘米之一載子濃度,其可透過摻雜有諸如鎵、銦或鋅之元素來調整以調變電性質。Additionally, FeFET 1302 can be engineered to exhibit specific electrical parameters. For example, in some embodiments, the channel layer can have a thickness of less than 30 nm. In some embodiments, the channel layer can exhibit a carrier concentration of 10^17/cm³ to 10^20/cm³, which can be tuned by doping with elements such as gallium, indium, or zinc to adjust the electrical properties.

由3D記憶體行1300內之FeFET 1302形成之記憶體格亦可以諸如讀取及寫入延時、耐久性及能耗之操作參數為目標。例如,可以小於10皮焦耳之能量且在小於20奈秒之時框內執行讀取及寫入操作以有助於記憶體行之低功率及高速屬性。The memory cell formed by the FeFETs 1302 within the 3D memory row 1300 can also target operational parameters such as read and write latency, endurance, and energy consumption. For example, read and write operations can be performed at less than 10 picojoules of energy and in a time frame of less than 20 nanoseconds to contribute to the low-power and high-speed properties of the memory row.

此外,記憶體行1300之3D-NAND組態可經設計以達成一高切斷狀態電阻與接通狀態電阻比(Roff/Ron),其對區分不同資料狀態及確保可靠資料保持而言很重要。此比率可為約10^3或更大,其幫助在記憶體操作期間維持一高信雜比。Furthermore, the 3D-NAND configuration of memory row 1300 can be designed to achieve a high off-state resistance to on-state resistance ratio (Roff/Ron), which is important for distinguishing different data states and ensuring reliable data retention. This ratio can be approximately 10^3 or greater, which helps maintain a high signal-to-noise ratio during memory operation.

記憶體行1300中之FeFET 1302亦可經設計以維持一高度可靠性,其具有大於或等於10^11次循環之耐久性額定值以確保記憶體裝置之壽命及耐久性。此耐久性由鐵電層在室溫(其係25°C)維持資料保持至少1分鐘之能力補充。FeFETs 1302 in memory row 1300 are also designed to maintain a high reliability, with an endurance rating greater than or equal to 10^11 cycles to ensure the life and durability of the memory device. This endurance is complemented by the ferroelectric layer's ability to maintain data retention for at least one minute at room temperature (25°C).

圖14描繪根據本發明之一實施例之組態為具有一整合傳遞閘極之一3D-NAND之三維(3D)記憶體行。此圖繪示一系列鐵電場效電晶體(FeFET) 1402,其等各包含源極端子1404及汲極端子1408,由各自閘極端子1406閘控且耦合至讀取/寫入啟用線1414。FeFET經互連以形成具有鏈接至一傳遞閘極線1416之傳遞閘極1418之一垂直記憶體結構。FIG14 illustrates a three-dimensional (3D) memory row configured as a 3D-NAND with an integrated transfer gate according to one embodiment of the present invention. The figure shows a series of ferroelectric field-effect transistors (FeFETs) 1402, each including a source terminal 1404 and a drain terminal 1408, gated by respective gate terminals 1406 and coupled to a read/write enable line 1414. The FeFETs are interconnected to form a vertical memory structure having a transfer gate 1418 linked to a transfer gate line 1416.

因此,圖14繪示標示為元件1400之三維(3D)記憶體行,其可經組態為具有一整合傳遞閘極之一3D-NAND結構。此組態實現對3D結構內之個別記憶體格之增強控制以潛在地改良讀取/寫入操作且促進高效記憶體管理。14 illustrates a three-dimensional (3D) memory row, designated element 1400, that can be configured as a 3D-NAND structure with an integrated pass gate. This configuration enables enhanced control over individual memory cells within the 3D structure, potentially improving read/write operations and facilitating efficient memory management.

詳細而言,3D記憶體行1400包括多個鐵電場效電晶體(FeFET),統稱為FeFET 1402。系列1402內之各FeFET (諸如1402a、1402b、1402c、1402d等)係3D記憶體行1400之一組成記憶體格。此等FeFET歸因於其閘極材料之鐵電性質而能夠依一非揮發性方式保持資料,其允許在一段時間內在無需連續供電之情況下保持資料。Specifically, 3D memory row 1400 includes a plurality of ferroelectric field-effect transistors (FeFETs), collectively referred to as FeFETs 1402. Each FeFET within row 1402 (e.g., 1402a, 1402b, 1402c, 1402d, etc.) constitutes a memory cell of 3D memory row 1400. Due to the ferroelectric properties of their gate materials, these FeFETs are able to retain data in a non-volatile manner, allowing them to retain data for a period of time without the need for continuous power supply.

系列1402中之各FeFET包含一源極,由FeFET 1402a之源極1404a例示。各FeFET之源極1404耦合至一位元線(繪示為FeFET 1402a之位元線1410)或連接至系列中之前一FeFET之汲極。例如,FeFET 1402b之源極1404b電耦合至FeFET 1402a之汲極1408a。此串列連接形成用於NAND架構中之菊鏈組態之基礎以允許對FeFET陣列之循序存取。Each FeFET in series 1402 includes a source, exemplified by source 1404a of FeFET 1402a. Source 1404 of each FeFET is coupled to a bit line (illustrated as bit line 1410 of FeFET 1402a) or to the drain of the previous FeFET in the series. For example, source 1404b of FeFET 1402b is electrically coupled to drain 1408a of FeFET 1402a. This series connection forms the basis for a daisy-chain configuration in a NAND architecture, allowing sequential access to the FeFET array.

此外,FeFET 1402內之各FeFET配備有一閘極端子,諸如FeFET 1402a之閘極1406a。FeFET之閘極連接至其各自讀取/寫入啟用線,其等在圖中描繪為元件1414。例如,FeFET 1402a之閘極1406a受讀取/寫入啟用線1414a影響。此等啟用線控制適合於讀取及寫入資料之電壓之施加。In addition, each FeFET within FeFET 1402 is equipped with a gate terminal, such as gate 1406a of FeFET 1402a. The gates of the FeFETs are connected to their respective read/write enable lines, depicted as element 1414. For example, gate 1406a of FeFET 1402a is affected by read/write enable line 1414a. These enable lines control the application of voltages suitable for reading and writing data.

另外,FeFET系列1402中之各FeFET包含一汲極,諸如FeFET 1402a之汲極1408a。此汲極連接至系列中之後一FeFET之源極,因此建立3D記憶體堆疊之柱狀結構之連續性。In addition, each FeFET in the FeFET series 1402 includes a drain, such as drain 1408a of FeFET 1402a. This drain is connected to the source of the next FeFET in the series, thus establishing the continuity of the pillar structure of the 3D memory stack.

在一些實施例中,FeFET 1402之各FeFET併入連接至一傳遞閘極線(由圖中之1416表示)之一傳遞閘極,例如傳遞閘極1418a。傳遞閘極線1416係提供電信號以控制FeFET之傳遞閘極1418之一導電路徑。在FeFET中包含傳遞閘極可允許在操作期間改良記憶體格之間的隔離,藉此減少干擾且潛在地增強資料儲存及擷取之可靠性。In some embodiments, each FeFET of FeFET 1402 incorporates a transfer gate, such as transfer gate 1418a, connected to a transfer gate line (represented by 1416 in the figure). Transfer gate line 1416 provides a conductive path for providing electrical signals to control the transfer gate 1418 of the FeFET. Including a transfer gate in the FeFET can allow for improved isolation between memory cells during operation, thereby reducing interference and potentially enhancing the reliability of data storage and retrieval.

如圖14中所描繪,3D記憶體行1400亦涵蓋可用於在各種實施例中最佳化其效能之各種材料及參數。行內之各FeFET 1402可使用各種半導體材料來製造。例如,FeFET之通道層可由諸如氧化銦鎵鋅(IGZO)之材料形成。As depicted in Figure 14, 3D memory row 1400 also encompasses a variety of materials and parameters that can be used to optimize its performance in various embodiments. Each FeFET 1402 within the row can be fabricated using a variety of semiconductor materials. For example, the channel layer of a FeFET can be formed from materials such as indium gallium zinc oxide (IGZO).

作為FeFET之一界定特性之鐵電層可由如氧化鉿鋯(HfZrO 2)或其他鈣鈦礦材料之材料組成,此等材料以其剩餘極化而聞名。此性質判定FeFET之資料保持能力。此層之矯頑電壓(其影響切換極化狀態所需之能量)係可根據具體應用之要求來調整之另一關鍵參數,在一個實施例中,範圍在-3伏特至3伏特之間。 The ferroelectric layer, a defining characteristic of FeFETs, can be composed of materials such as ferrozirconium oxide ( HfZrO2 ) or other calcium-titanium materials, known for their residual polarization. This property determines the data retention capability of the FeFET. The threshold voltage of this layer (which influences the energy required to switch the polarization state) is another key parameter that can be adjusted according to the requirements of the specific application. In one embodiment, it ranges from -3 volts to 3 volts.

分別包含元件1404及1408之FeFET之源極及汲極端子可由諸如鎢或氮化鈦之導電材料組成。此等材料提供電流路徑,其用於切換。控制FeFET之閘極1406之讀取/寫入啟用線1414亦可由類似材料製成以確保整個裝置之一致電特性。The source and drain terminals of the FeFETs, comprising elements 1404 and 1408, respectively, can be made of conductive materials such as tungsten or titanium nitride. These materials provide the current path required for switching. The read/write enable line 1414, which controls the gate 1406 of the FeFET, can also be made of similar materials to ensure consistent electrical characteristics throughout the device.

在實體參數方面,通道層厚度可小於30 nm。此等通道層內之電子遷移率可維持在一預定位準,即使層之厚度小於30 nm。In terms of physical parameters, the channel layer thickness can be less than 30 nm. The electron mobility in these channel layers can be maintained at a predetermined level even when the layer thickness is less than 30 nm.

傳遞閘極1418可使用低電阻材料製造以實現快速切換時間,其在操作期間頻繁存取記憶體行時係有益的。The transfer gate 1418 may be fabricated using low resistance materials to achieve fast switching times, which is beneficial when memory rows are frequently accessed during operation.

圖15繪示根據本發明之一實施例之三維(3D)記憶體行1500,其可經組態為具有獨立讀取/寫入啟用能力之一3D-NOR或3D-AND結構。此記憶體行涵蓋一系列垂直對準之FeFET 1502,諸如FeFET 1502a、1502b、1502c、1502d等,各與鏈接至一各自讀取啟用線1520 (例如FeFET 1502a之讀取啟用線1520a)之一源極1504 (例如FeFET 1502a之源極1504a)及連接至一對應寫入啟用線1522 (例如FeFET 1502a之寫入啟用線1522a)之一閘極1506 (例如FeFET 1502a之閘極1506a)整合。行內之所有FeFET共用連接至其汲極1508之一共同位元線1510以使行能夠執行協調記憶體操作。FIG15 illustrates a three-dimensional (3D) memory row 1500 that can be configured as a 3D-NOR or 3D-AND structure with independent read/write enable capabilities according to one embodiment of the present invention. This memory row includes a series of vertically aligned FeFETs 1502, such as FeFETs 1502a, 1502b, 1502c, 1502d, etc., each integrated with a source 1504 (e.g., source 1504a of FeFET 1502a) linked to a respective read enable line 1520 (e.g., read enable line 1520a of FeFET 1502a) and a gate 1506 (e.g., gate 1506a of FeFET 1502a) connected to a corresponding write enable line 1522 (e.g., write enable line 1522a of FeFET 1502a). All FeFETs within a row share a common bit line 1510 connected to their drains 1508 to enable the row to perform coordinated memory operations.

圖15呈現可經組態為具有獨立讀取/寫入啟用功能性之一3D-NOR或3D-AND結構之三維(3D)記憶體行1500之一詳細描繪。此記憶體行係具有鐵電閘極層之場效電晶體之一總成,統稱為FeFET 1502,其等個別識別為例如1502a、1502b、1502c、1502d等,各表示行內之一記憶體格。Figure 15 shows a detailed depiction of a three-dimensional (3D) memory row 1500 that can be configured as a 3D-NOR or 3D-AND structure with independent read/write enable functionality. This memory row is an assembly of field-effect transistors with a ferroelectric gate layer, collectively referred to as FeFETs 1502, which are individually identified as, for example, 1502a, 1502b, 1502c, 1502d, etc., each representing a memory cell within the row.

在所繪示之實施例中,各FeFET 1502包含一源極1504,諸如對應於FeFET 1502a之源極1504a。源極1504經設計以電耦合至一各自讀取啟用線1520,諸如專用於FeFET 1502a之讀取啟用線1520a。讀取啟用線1520用於選擇性啟動FeFET 1502用於讀取操作以允許自記憶體格讀出儲存資料。In the illustrated embodiment, each FeFET 1502 includes a source 1504, such as source 1504a corresponding to FeFET 1502a. Source 1504 is designed to be electrically coupled to a respective read enable line 1520, such as read enable line 1520a dedicated to FeFET 1502a. Read enable line 1520 is used to selectively enable FeFET 1502 for a read operation to allow stored data to be read from the memory cell.

另外,各FeFET 1502配備有一閘極1506,由FeFET 1502a之閘極1506a例示。閘極1506連接至特定於FeFET 1502a之一各自寫入啟用線1522,諸如寫入啟用線1522a。寫入啟用線1522用於選擇性啟動FeFET 1502用於寫入操作以實現記憶體格內之資料之儲存。In addition, each FeFET 1502 is equipped with a gate 1506, exemplified by gate 1506a of FeFET 1502a. Gate 1506 is connected to a respective write enable line 1522, such as write enable line 1522a, specific to FeFET 1502a. Write enable line 1522 is used to selectively enable FeFET 1502 for a write operation to enable storage of data within the memory cell.

此外,各FeFET 1502包含一汲極1508,例如附屬於FeFET 1502a之汲極1508a。汲極1508連接至一共同位元線1510。位元線1510充當用於在讀取及寫入操作期間使資料來回傳送於記憶體格之一導管。橫跨多個FeFET 1502之位元線1510之共同性意味來自任何啟動記憶體格之資料可路由通過此共用路徑。Additionally, each FeFET 1502 includes a drain 1508, such as drain 1508a associated with FeFET 1502a. Drain 1508 is connected to a common bit line 1510. Bit line 1510 acts as a conduit for transferring data to and from the memory cell during read and write operations. The commonality of bit line 1510 across multiple FeFETs 1502 means that data from any active memory cell can be routed through this common path.

在記憶體行1500之一些實施例中,FeFET 1502之組態允許高密度記憶體格垂直堆疊於一緊湊佔用面積內。In some embodiments of memory row 1500, the configuration of FeFETs 1502 allows high-density memory cells to be stacked vertically in a compact footprint.

用於FeFET 1502之閘極1506中之鐵電材料可包括各種組合物,諸如基於氧化鉿之材料,其可使用原子層沈積技術來沈積。材料之鐵電性質允許資料保持以使記憶體格能夠甚至在不供電時維持儲存資訊。The ferroelectric material used in the gate 1506 of the FeFET 1502 can include various compositions, such as a material based on bismuth oxide, which can be deposited using atomic layer deposition techniques. The ferroelectric properties of the material allow for data retention, enabling the memory cell to maintain stored information even when no power is supplied.

各FeFET 1502之源極1504、閘極1506及汲極1508可由提供預定電效能之材料製造。此等材料可包含諸如鎢或銅之金屬或諸如氮化鈦之金屬氮化物。The source 1504, gate 1506, and drain 1508 of each FeFET 1502 can be made of materials that provide predetermined electrical performance. These materials can include metals such as tungsten or copper, or metal nitrides such as titanium nitride.

在一些實施例中,讀取啟用線1520及寫入啟用線1522可經設計以最小化相鄰線之間的串擾及干擾。在一些特定實施例中,可包含屏蔽層或絕緣材料以進一步隔離信號路徑。In some embodiments, the read enable line 1520 and the write enable line 1522 can be designed to minimize crosstalk and interference between adjacent lines. In some specific embodiments, a shielding layer or insulating material can be included to further isolate the signal paths.

此外,所描述之記憶體行1500可整合於一較大半導體裝置(諸如一處理器或一儲存模組)內。其可形成一單晶片系統(SoC)之部分或包含於一多晶片模組(MCM)中以有助於一資料儲存及擷取系統。Furthermore, the described memory bank 1500 may be integrated into a larger semiconductor device, such as a processor or a memory module. It may form part of a system on a chip (SoC) or be included in a multi-chip module (MCM) to facilitate a data storage and retrieval system.

構成記憶體行1500內之FeFET 1502之材料經選擇以提供特定電及物理特性以最佳化積體電路之效能。例如,各FeFET中之通道層可由先進半導體材料(諸如氧化銦鎵鋅(IGZO)或如氧化鋅錫或氧化鎘之其他非晶氧化物半導體(AOS))形成。此等材料根據其優異電子遷移率特性及穩定性來選擇。The materials that comprise FeFETs 1502 within memory row 1500 are selected to provide specific electrical and physical properties to optimize the performance of the integrated circuit. For example, the channel layer in each FeFET can be formed from advanced semiconductor materials such as indium gallium zinc oxide (IGZO) or other amorphous oxide semiconductors (AOS) such as zinc tin oxide or cadmium oxide. These materials are chosen for their excellent electron mobility characteristics and stability.

與FeFET 1502成一體之鐵電層可由展現適合極化性質之各種鐵電材料製造。可利用諸如氧化鉿鋯(HfZrO 2)或鋯鈦酸鉛(PZT)之材料。此等材料可摻雜有諸如鑭、釔或其他適合摻雜物之元素以使其鐵電性質改質,諸如矯頑電壓、剩餘極化及結晶溫度。可調整鐵電層之厚度及材料組成以達成所要記憶體特性,諸如寫入耐久性及保持時間,同時確保層仍與整體半導體製程或其他考量因數等相容。 The ferroelectric layer integrated with FeFET 1502 can be fabricated from a variety of ferroelectric materials exhibiting suitable polarization properties. Materials such as helium zirconium oxide ( HfZrO2 ) or lead zirconium titanate (PZT) can be used. These materials can be doped with elements such as lumen, yttrium, or other suitable dopants to modify their ferroelectric properties, such as threshold voltage, residual polarization, and crystallization temperature. The thickness and material composition of the ferroelectric layer can be adjusted to achieve desired memory characteristics, such as write endurance and retention time, while ensuring compatibility with the overall semiconductor process and other considerations.

FeFET 1502之源極端子1504、閘極端子1506及汲極端子1508可由如鎢、氮化鈦、鎳或鉬之導電材料組成。可透過導電通路或接點促進至讀取啟用線1520及寫入啟用線1522之連接。The source terminal 1504, gate terminal 1506, and drain terminal 1508 of the FeFET 1502 can be made of a conductive material such as tungsten, titanium nitride, nickel, or molybdenum. Connection to the read enable line 1520 and the write enable line 1522 can be facilitated through conductive vias or contacts.

讀取啟用線1520及寫入啟用線1522與共同位元線1510一起可使用微影技術圖案化以達成用於適當功能性之預定精確度及對準。此等線可使用如二氧化矽(SiO 2)、氮化矽(Si 3N 4)或低k介電質之介電材料來彼此絕緣以減少寄生電容及串擾。 Read enable line 1520 and write enable line 1522, along with common bit line 1510, can be patterned using lithography to achieve a predetermined precision and alignment for proper functionality. These lines can be insulated from each other using dielectric materials such as silicon dioxide ( SiO2 ), silicon nitride ( Si3N4 ), or low-k dielectrics to reduce parasitic capacitance and crosstalk.

記憶體行1500內之各元件可考量諸如線寬、間距及縱橫比之因數以確保可製造性、功能性及/或其他目標或特性。用於建構記憶體行1500之材料及程序經選擇以確保與標準半導體製造技術(諸如光微影、蝕刻、沈積及退火)之相容性,同時亦實現材料及結構之整合。Each device within memory row 1500 may be fabricated based on factors such as line width, pitch, and aspect ratio to ensure manufacturability, functionality, and/or other goals or characteristics. The materials and processes used to construct memory row 1500 are selected to ensure compatibility with standard semiconductor fabrication techniques (e.g., photolithography, etching, deposition, and annealing) while also enabling material and structural integration.

在記憶體行1500內製造FeFET 1502可涉及諸如原子層沈積(ALD)、化學氣相沈積(CVD)或物理氣相沈積(PVD)之沈積技術以創建均勻及/或非均勻層。Fabricating FeFETs 1502 within memory row 1500 may involve deposition techniques such as atomic layer deposition (ALD), chemical vapor deposition (CVD), or physical vapor deposition (PVD) to create uniform and/or non-uniform layers.

圖16呈現根據本發明之一實施例之組態為一單埠3D NAND之一3D記憶體結構(標示為1600)之一橫截面圖。結構包含一第一垂直結構1608a及一第二相同垂直結構1608b,其等各包括一介電柱1610a、1610b、圍繞介電柱安置之一通道柱1612a、1612b及圍繞通道柱安置之一鐵電柱1614a、1614b。一系列水平閘極電極層1606a至1606c安置成彼此相距預定距離,沿垂直結構之長度相鄰於鐵電柱。總成進一步包含一汲極選擇層1602及一源極選擇層1604,其中各自端介電柱1618a、1618b及1616a、1616b定位於與垂直結構之介面處以繪示用於高密度資料儲存之一詳細且複雜設計。Figure 16 shows a cross-sectional view of a 3D memory structure (designated 1600) configured as a single-port 3D NAND according to an embodiment of the present invention. The structure includes a first vertical structure 1608a and a second identical vertical structure 1608b, each of which includes a dielectric pillar 1610a, 1610b, a channel pillar 1612a, 1612b disposed around the dielectric pillar, and a ferroelectric pillar 1614a, 1614b disposed around the channel pillar. A series of horizontal gate electrode layers 1606a-1606c are disposed at predetermined distances from each other and adjacent to the ferroelectric pillars along the length of the vertical structure. The assembly further includes a drain select layer 1602 and a source select layer 1604 with respective end dielectric pillars 1618a, 1618b and 1616a, 1616b positioned at the interface with the vertical structure to illustrate a detailed and complex design for high-density data storage.

因此,圖16提供組態為一單埠3D NAND架構之三維(3D)記憶體結構(標示為1600)之一橫截面圖。此結構併入一對垂直結構1608a及1608b,其等可製造為實質上相同,如其各自下標a及b所指示,其暗示一模組化及可擴展記憶體陣列設計之可能性。Thus, Figure 16 provides a cross-sectional view of a three-dimensional (3D) memory structure (designated 1600) configured as a single-port 3D NAND architecture. This structure incorporates a pair of vertical structures 1608a and 1608b, which can be manufactured to be substantially identical, as indicated by their respective subscripts a and b, suggesting the possibility of a modular and scalable memory array design.

由第一垂直結構1608a例示之各垂直結構包含一介電柱1610a。介電柱可採用各種幾何形式,其可為圓柱形、實質上圓柱形或特徵曲線。另外,其可呈現一錐形形式,在各端處具有不同直徑以隱含朝向頂部變窄之一設計。在本發明之範疇內可考量介電柱之實心及空心兩種組態以針對不同電及結構要求提供設計靈活性。Each vertical structure, exemplified by first vertical structure 1608a, includes a dielectric pillar 1610a. Dielectric pillars can take various geometric forms, including cylindrical, substantially cylindrical, or characteristically curved. Alternatively, they can exhibit a tapered shape, with different diameters at each end, implicitly tapering toward the top. Both solid and hollow dielectric pillar configurations are contemplated within the scope of the present invention, providing design flexibility for varying electrical and structural requirements.

一通道柱1612a環繞介電柱1610a,通道柱1612a係電荷載子在裝置操作期間之軌跡。通道柱亦描述為可能圓柱形、實質上圓柱形或特徵曲線及/或可沿其長度展現類似於介電柱之直徑變動。A channel pillar 1612a surrounds the dielectric pillar 1610a. The channel pillar 1612a is the path for charge carriers during device operation. The channel pillar is also described as possibly cylindrical, substantially cylindrical, or characteristically curved and/or may exhibit diameter variations along its length similar to the dielectric pillar.

一鐵電柱1614a包封通道柱1612a,鐵電柱1614a沿通道柱之長度延伸但可在端處後退,藉此意謂鐵電柱1614不沿通道柱1612a之整個長度延伸。Enclosing channel post 1612a is a ferroelectric post 1614a that extends along the length of the channel post but may be stepped back at the end, meaning that ferroelectric post 1614a does not extend along the entire length of channel post 1612a.

一系列水平閘極電極層1606a至1606c與垂直結構相交,水平閘極電極層1606a至1606c定位成彼此相距預定距離。此等層藉由影響鐵電柱內之電場而在控制裝置之操作狀態中發揮一定作用。Intersecting the vertical structures are a series of horizontal gate electrode layers 1606a-1606c, which are positioned a predetermined distance from one another. These layers play a role in controlling the operating state of the device by influencing the electric field within the ferroelectric column.

平行於水平閘極電極層1606之一汲極選擇層1602位於3D記憶體結構1600之頂部上。在汲極選擇層1602與垂直結構1608a、1608b之交會處,端介電柱1618a及1618b係可辨別的。此等端介電柱1618與通道柱1612及汲極選擇層1602介接以有助於隔離及控制通道柱內之電荷載子。其等可接觸鐵電層1614,因為其等在沿其長度之不同位置處包封通道柱1612。A drain select layer 1602, parallel to the horizontal gate electrode layer 1606, sits atop the 3D memory structure 1600. At the intersection of the drain select layer 1602 and the vertical structures 1608a and 1608b, terminal dielectric pillars 1618a and 1618b are discernible. These terminal dielectric pillars 1618 interface with the channel pillar 1612 and the drain select layer 1602 to help isolate and control charge carriers within the channel pillar. They can contact the ferroelectric layer 1614, encapsulating the channel pillar 1612 at various locations along its length.

類似地,一源極選擇層1604位於結構1600之底部處,同樣平行於水平閘極電極層1606。對應端介電柱1616a及1616b存在於源極選擇層1604與垂直結構之介接處以提供類似於汲極選擇層1602附近之端介電柱1618之功能。Similarly, a source select layer 1604 is located at the bottom of the structure 1600, also parallel to the horizontal gate electrode layer 1606. Corresponding terminal dielectric pillars 1616a and 1616b exist at the interface between the source select layer 1604 and the vertical structure to provide a function similar to the terminal dielectric pillar 1618 near the drain select layer 1602.

水平閘極電極層1606可由可提供不同功函數、導電性及與結構中其他材料之相容性之一系列導電材料(包含金屬及金屬化合物)建構。類似地,鐵電柱1614可併入各種鐵電材料,其等各具有其獨特極化特性、矯頑場及介電常數以影響裝置之記憶體保持及切換行為。The horizontal gate electrode layer 1606 can be constructed from a range of conductive materials (including metals and metal compounds) that offer varying work functions, conductivity, and compatibility with other materials in the structure. Similarly, the ferroelectric pillar 1614 can incorporate a variety of ferroelectric materials, each with its own unique polarization properties, induced field, and dielectric constant that influence the device's memory retention and switching behavior.

通道柱1612材料可基於其電子性質(諸如載子遷移率及帶隙)來選擇以達成所要接通狀態及切斷狀態電流位準。介電柱1610提供防止洩漏電流且確保裝置之正常運行所需之電絕緣。The channel pillar 1612 material can be selected based on its electronic properties (such as carrier mobility and bandgap) to achieve the desired on-state and off-state current levels. The dielectric pillar 1610 provides the electrical insulation required to prevent leakage current and ensure proper operation of the device.

介電柱(諸如用於第一垂直結構之1610a)可由提供絕緣性之材料建構以減輕任何潛在洩漏電流。介電材料之選擇可為氧化鉿(HfO 2)或二氧化矽(SiO 2)。 The dielectric pillars (such as 1610a for the first vertical structure) can be constructed from a material that provides insulation to mitigate any potential leakage current. Dielectric materials of choice can be HfO2 or SiO2 .

環繞介電柱之通道柱(1612a)具有通道材料,可選自提供預定載子遷移率之各種半導體材料。例如,氧化銦鎵鋅(IGZO)可用於其電性質。通道層之厚度可變動,一些實施例考量小於30 nm之一厚度。此厚度經選擇以達成一預定電效能。鐵電柱(如1614a)可包含鈣鈦礦結構,諸如鋯鈦酸鉛(PZT)。The channel pillar (1612a) surrounding the dielectric pillar has a channel material that can be selected from a variety of semiconductor materials that provide a predetermined carrier mobility. For example, indium gallium zinc oxide (IGZO) can be used for its electrical properties. The thickness of the channel layer can vary, with some embodiments contemplating a thickness of less than 30 nm. This thickness is selected to achieve a predetermined electrical performance. The ferroelectric pillar (e.g., 1614a) can include a calcium titanium structure, such as lead zirconate titanate (PZT).

由1606a至1606c表示之水平閘極電極層由促進向鐵電柱施加一電場之導電材料(諸如鎢或氮化鈦,其等可根據其電行為來選擇)組成。閘極電極材料之選擇亦考量諸如功函數、熱穩定性及與既有半導體製程之易整合性之因數。The horizontal gate electrode layers denoted by 1606a to 1606c are composed of a conductive material (such as tungsten or titanium nitride, which can be selected based on their electrical behavior) that facilitates the application of an electric field to the ferroelectric pillars. The choice of gate electrode material also takes into account factors such as work function, thermal stability, and ease of integration with existing semiconductor processes.

汲極及源極選擇層(分別為1602及1604)經併入以實現陣列內之個別記憶體格之定址。用於此等層之材料根據其導電性及與通道及鐵電材料之相容性選擇。此等層之設計亦可併入用於減小寄生電容及確保快速資料存取之考量。Drain and source select layers (1602 and 1604, respectively) are incorporated to enable addressing of individual memory banks within the array. The materials used for these layers are selected based on their conductivity and compatibility with the channel and ferroelectric materials. The design of these layers may also be incorporated to minimize parasitic capacitance and ensure fast data access.

端介電柱(如1618a及1616a)在鐵電材料不延伸至之通道柱之端處提供電絕緣。End dielectric posts (such as 1618a and 1616a) provide electrical insulation at the ends of the channel posts where the ferroelectric material does not extend.

3D記憶體結構1600內所揭示之實施例概述能夠提供資料儲存之總成。設計允許結構尺寸變動,諸如圓柱形柱之直徑,其可為均勻或漸縮的。另外,可使用實心或空心組態之選項。The disclosed embodiment of 3D memory structure 1600 outlines an assembly capable of providing data storage. The design allows for variations in structural dimensions, such as the diameter of cylindrical pillars, which can be uniform or tapered. Additionally, options for solid or hollow configurations are available.

圖17展示根據本發明之一實施例之作為一雙埠3D NAND配置之一3D記憶體結構。圖17中所繪示之三維(3D)記憶體結構(指稱3D記憶體結構1700)例示一雙埠3D NAND配置以提供一記憶體功能性。此結構之特徵在於可相同或接近相同(如由標示下標「a」及「b」所示)之兩個主要垂直形成物,標示為第一垂直結構1708a及第二垂直結構1708b。FIG17 illustrates a 3D memory structure as a dual-port 3D NAND configuration according to an embodiment of the present invention. The three-dimensional (3D) memory structure depicted in FIG17 (referred to as 3D memory structure 1700) illustrates a dual-port 3D NAND configuration to provide memory functionality. This structure is characterized by two primary vertical formations, designated as a first vertical structure 1708a and a second vertical structure 1708b, which may be identical or nearly identical (as indicated by the subscripts "a" and "b").

第一垂直結構1708a包含實質上呈圓柱形形狀之一空心或實心之錐形傳遞閘極電極柱1718a。傳遞閘極電極柱1718a可由氮化鈦製成且可在底端處具有比頂端大之一直徑。The first vertical structure 1708a includes a hollow or solid tapered transfer gate electrode post 1718a that is substantially cylindrical in shape. The transfer gate electrode post 1718a can be made of titanium nitride and can have a larger diameter at the bottom than at the top.

可由氧化鉿製成之一介電柱1710a環繞傳遞閘極電極柱1718a。介電柱1710a亦係具有一略微錐形形狀之實質上圓柱形,在頂部處具有一略微更大直徑。介電柱1710a提供傳遞閘極電極與後續層之間的電隔離。A dielectric pillar 1710a, which may be made of bismuth oxide, surrounds the transfer gate electrode pillar 1718a. Dielectric pillar 1710a is also substantially cylindrical with a slightly tapered shape, with a slightly larger diameter at the top. Dielectric pillar 1710a provides electrical isolation between the transfer gate electrode and subsequent layers.

可由IGZO半導體材料製成之一圓柱形通道柱1712a圍繞介電柱1710a安置。通道柱1712a具有沿其長度之曲線且整個通道柱具有一均勻直徑。通道柱之厚度可小於30 nm。A cylindrical channel pillar 1712a, which can be made of IGZO semiconductor material, is disposed around dielectric pillar 1710a. Channel pillar 1712a has a curve along its length and a uniform diameter throughout. The thickness of the channel pillar can be less than 30 nm.

一PZT鐵電柱1714a圍封通道柱1712a,PZT鐵電柱1714a覆蓋通道柱1712a之大部分長度但在端處後退以使通道柱1712a之一部分未被覆蓋。鐵電柱1714a實質上呈圓柱形且含有鉛、鋯及鈦作為關鍵元素成分。A PZT ferroelectric post 1714a surrounds the channel post 1712a. The PZT ferroelectric post 1714a covers most of the length of the channel post 1712a but steps back at the end so that a portion of the channel post 1712a is uncovered. The ferroelectric post 1714a is substantially cylindrical and contains lead, zirconium, and titanium as key elements.

垂直結構1708a及1708b穿過可由鎢製成之若干水平閘極電極層1706a、1706b及1706c,其等依固定間隔定位以形成一互連網格佈局。此等層在記憶體操作期間影響鐵電柱1714a內之電場。Vertical structures 1708a and 1708b pass through several horizontal gate electrode layers 1706a, 1706b, and 1706c, which can be made of tungsten and are positioned at regular intervals to form an interconnected grid layout. These layers affect the electric field within the ferroelectric pillar 1714a during memory operation.

在記憶體結構1700之頂部處,一汲極選擇層1702 (例如氮化鈦)平行於水平閘極電極層1706運行。在汲極選擇層1702與垂直結構1708a及1708b之相交處,端介電柱1718a及1718b係可見的。此等端柱(例如,由HfO 2製成)在一端上接觸鐵電柱1714a且環繞通道柱1712a之未覆蓋部分以提供絕緣。 At the top of memory structure 1700, a drain select layer 1702 (e.g., titanium nitride) runs parallel to horizontal gate electrode layer 1706. At the intersection of drain select layer 1702 and vertical structures 1708a and 1708b, terminal dielectric pillars 1718a and 1718b are visible. These terminal pillars (e.g., made of HfO2 ) contact ferroelectric pillar 1714a on one end and surround the uncovered portion of channel pillar 1712a to provide insulation.

類似地,在結構1700之底部處,亦平行於電極層1706之一源極選擇層1704 (例如,由鎢製成)與垂直結構介接。在此等相交點處可觀察到端介電柱1716a及1716b以圍封通道柱1712a及1712b之開口端。Similarly, at the bottom of structure 1700, a source select layer 1704 (e.g., made of tungsten) interfaces with the vertical structure, also parallel to electrode layer 1706. At these intersections, terminal dielectric pillars 1716a and 1716b can be observed to enclose the open ends of channel pillars 1712a and 1712b.

在端處之傳遞閘極電極柱1718a及1718b之空心區域內,一薄介電水平層1720a及1720b可放置於底部端子(例如HfO 2)附近。此等層密封垂直空心空隙之底部開口端。 Within the hollow regions of the transfer gate electrode pillars 1718a and 1718b at the ends, a thin dielectric horizontal layer 1720a and 1720b may be placed near the bottom terminal (e.g., HfO 2 ). These layers seal the bottom open ends of the vertical hollow voids.

圖18繪示可組態為一3D NOR垂直電晶體記憶體陣列之一3D記憶體結構1800。3D記憶體結構1800包括彼此相鄰配置之一第一垂直結構1808a及一相同(或實質上相同)第二垂直結構1808b。FIG18 illustrates a 3D memory structure 1800 that can be configured as a 3D NOR vertical transistor memory array. The 3D memory structure 1800 includes a first vertical structure 1808a and an identical (or substantially identical) second vertical structure 1808b that are adjacent to each other.

第一垂直結構1808a包含提供至3D記憶體結構之下部之一電連接之一垂直插塞柱1802a。垂直插塞柱1802a可沿其整個長度具有一均勻直徑或可在其下端上具有比其上端更大之一直徑。在各種實施例中,插塞柱1802a可製造為一實心柱或一空心柱。The first vertical structure 1808a includes a vertical plug 1802a that provides an electrical connection to the lower portion of the 3D memory structure. Vertical plug 1802a can have a uniform diameter along its entire length or can have a larger diameter at its lower end than at its upper end. In various embodiments, plug 1802a can be fabricated as a solid pillar or a hollow pillar.

一源極電極柱1804a及一汲極電極柱1816a相鄰於垂直插塞柱1802a安置。源極電極柱1804a及汲極電極柱1816a提供至沿垂直結構1808a形成之垂直電晶體之源極及汲極節點之電連接。源極電極柱1804a及汲極電極柱1816a可由各種導電材料組成,包含(但不限於)鎢、氮化鈦、氮化鉭、鎳、鉬、鉑、鈀、鈷、金、鋁、銅、鉿、氮化鉿、銥、氧化銥、釕、氧化釕、矽化物、石墨烯、碳奈米管、摻雜多晶矽、氧化銦錫、銀、摻鋁氧化鋅、鎵、砷化鎵、氧化銦鎵鋅、如AlCu及TiW之金屬合金及導電聚合物。A source electrode column 1804a and a drain electrode column 1816a are disposed adjacent to the vertical plug column 1802a. The source electrode column 1804a and the drain electrode column 1816a provide electrical connections to the source and drain nodes of the vertical transistor formed along the vertical structure 1808a. The source electrode pillar 1804a and the drain electrode pillar 1816a can be made of various conductive materials, including (but not limited to) tungsten, titanium nitride, tantalum nitride, nickel, molybdenum, platinum, palladium, cobalt, gold, aluminum, copper, eum, eum nitride, iridium, iridium oxide, ruthenium, ruthenium oxide, silicide, graphene, carbon nanotubes, doped polysilicon, indium tin oxide, silver, aluminum-doped zinc oxide, gallium, gallium arsenide, indium gallium zinc oxide, metal alloys such as AlCu and TiW, and conductive polymers.

一通道柱1812a環繞垂直插塞柱1802a、源極電極柱1804a及汲極電極柱1816a,通道柱1812a沿第一垂直結構1808a為垂直電晶體提供半導體通道區域。通道柱1812a可由包含(但不限於)以下之材料形成:氧化銦鎵鋅(IGZO)、氧化銦鋅(IZO)、氧化鋅錫(ZTO)、氧化鋁鋅(AZO)、氧化銦鎢(IWO)、氧化鎵鋅(GZO)、氧化鉿銦(HIO)、氧化鎘(CdO)、多晶矽、聚鍺、硒化鎘(CdSe)、硒化銅銦鎵(CIGS)、結晶矽、結晶鍺、砷化鎵(GaAs)、磷化銦(InP)、銻化銦(InSb)、碳化矽(SiC)、氮化鎵(GaN)、氧化鋅(ZnO)、並五苯、P3HT、聚噻吩、PPV、石墨烯、碳奈米管(CNT)、甲基銨鹵化鉛、鹵化銫鉛、硫化鉛(PbS)、硒化鉛(PbSe)、硒化鎘(CdSe)、砷化銦(InAs)及其他半導體材料。A channel column 1812a surrounds the vertical plug column 1802a, the source electrode column 1804a, and the drain electrode column 1816a. The channel column 1812a provides a semiconductor channel region for the vertical transistor along the first vertical structure 1808a. The channel pillar 1812a may be formed of materials including, but not limited to, indium gallium zinc oxide (IGZO), indium zinc oxide (IZO), zinc tin oxide (ZTO), aluminum zinc oxide (AZO), indium tungsten oxide (IWO), gallium zinc oxide (GZO), helium indium oxide (HIO), cadmium oxide (CdO), polycrystalline silicon, polygermanium, cadmium selenide (CdSe), copper indium gallium selenide (CIGS), crystalline silicon, crystalline germanium, arsenic Gallium arsenide (GaAs), indium phosphide (InP), indium sulfide (InSb), silicon carbide (SiC), gallium nitride (GaN), zinc oxide (ZnO), pentacene, P3HT, polythiophene, PPV, graphene, carbon nanotubes (CNT), methylammonium lead halide, cesium lead halide, lead sulfide (PbS), lead selenide (PbSe), cadmium selenide (CdSe), indium arsenide (InAs), and other semiconductor materials.

一鐵電柱1814a環繞通道柱1812a,鐵電柱1814a沿第一垂直結構1808a為垂直電晶體提供閘極介電質。鐵電柱1814a可由鐵電材料組成,包含(但不限於)鈣鈦礦氧化物、鋯鈦酸鉛(PZT)、鈦酸鋇(BaTiO 3)、鈦酸鍶(SrTiO 3)、鐵酸鉍(BiFeO 3)、鈮酸鉀(KNbO 3)、鈮酸鋰(LiNbO 3)、鉭酸鋰(LiTaO 3)、鈦酸鈉鉍(Na 0.5Bi 0.5TiO 3)、鈦酸鉍(Bi 4Ti 3O 12)、鈮酸鉍鋅(Bi(Zn 1/2Ti 1/2)O 3)、鈦酸鉍鑭(BiLaTiO 3)、鈦酸鉍鎳(BiNiTiO 3)、PMN-PT、PLZT、摻釹鈦酸鉍(Bi 4-xNdxTi 3O 12)、如氧化鉿(HfO 2)及摻雜氧化鉿之鉿基氧化物、鎢青銅結構材料、鈮酸鋇鍶(BSN)、鈮酸鉛鋇(PBN)、鉭鈮酸鉀(KTN)、鈦酸鉍(Bi 4Ti 3O 12)、鉭酸鍶鉍(SBT)、鈮酸鈣鉍(CBN)、如PVDF、TrFE及P(VDF-TrFE)共聚物之有機鐵電體、奧里維里斯相氧化物、如YMnO 3之稀土水錳礦、經鑭改質之PLZT、氧化鎳錳(NiMnO 3)、如PMN、PST及PIN之弛豫鐵電體、如TbMnO 3、EuTiO 3之多鐵性材料、SbSI、GeTe、SnTe、PZT薄膜、SBT薄膜及HfO 2基薄膜、層狀超晶格及PbTiO 3/SrTiO 3A ferroelectric pillar 1814a surrounds the channel pillar 1812a. The ferroelectric pillar 1814a provides a gate dielectric for the vertical transistor along the first vertical structure 1808a. The ferroelectric pillar 1814a may be made of ferroelectric materials, including but not limited to calcium titanium oxide, lead zirconium titanate (PZT), barium titanate (BaTiO 3 ), strontium titanate (SrTiO 3 ), bismuth ferrite (BiFeO 3 ), potassium niobate (KNbO 3 ), lithium niobate (LiNbO 3 ), lithium niobate (LiTaO 3 ), sodium bismuth titanate (Na 0.5 Bi 0.5 TiO 3 ), bismuth titanate (Bi 4 Ti 3 O 12 ), bismuth zinc niobate (Bi(Zn 1/2 Ti 1/2 )O 3 ), bismuth vanadium titanate (BiLaTiO 3 ). ), bismuth nickel titanate ( BiNiTiO3 ), PMN-PT, PLZT, neodymium-doped bismuth titanate ( Bi4 - xNdxTi3O12 ), bismuth-based oxides such as bismuth oxide ( HfO2 ) and bismuth oxide-doped bismuth oxide, tungsten bronze structural materials, barium strontium niobate (BSN), lead barium niobate (PBN), potassium niobate (KTN), bismuth titanate ( Bi4Ti3O12 ) , bismuth strontium niobate (SBT), calcium bismuth niobate (CBN), organic ferroelectrics such as PVDF, TrFE and P (VDF-TrFE) copolymers, Aurivilis phase oxides, such as YMnO 3 rare earth manganese ore, nickel-modified PLZT, nickel manganese oxide (NiMnO 3 ), relaxor ferroelectrics such as PMN, PST, and PIN, multiferroic materials such as TbMnO 3 and EuTiO 3 , SbSI, GeTe, SnTe, PZT thin films, SBT thin films, HfO 2 -based thin films, layered superlattices, and PbTiO 3 /SrTiO 3 .

3D記憶體結構1800進一步包括多個水平閘極電極層1806,包含層1806a、1806b、1806c等。水平閘極電極層1806沿垂直結構1808依規則間隔安置且提供垂直電晶體之閘極電極。閘極電極層1806可由諸如以下之材料形成:鎢、氮化鈦、氮化鉭、鎳、鉬、鉑、鈀、鈷、金、鋁、銅、鉿、氮化鉿、銥、氧化銥、釕、氧化釕、矽化物、石墨烯、碳奈米管、摻雜多晶矽、氧化銦錫、銀、摻鋁氧化鋅、鎵、砷化鎵、氧化銦鎵鋅、諸如AlCu及TiW之金屬合金及導電聚合物。The 3D memory structure 1800 further includes a plurality of horizontal gate electrode layers 1806, including layers 1806a, 1806b, 1806c, etc. The horizontal gate electrode layers 1806 are regularly spaced along the vertical structure 1808 and provide gate electrodes for the vertical transistors. The gate electrode layer 1806 can be formed of materials such as tungsten, titanium nitride, tantalum nitride, nickel, molybdenum, platinum, palladium, cobalt, gold, aluminum, copper, cobium, cobium nitride, iridium, iridium oxide, ruthenium, ruthenium oxide, silicide, graphene, carbon nanotubes, doped polysilicon, indium tin oxide, silver, aluminum-doped zinc oxide, gallium, gallium arsenide, indium gallium zinc oxide, metal alloys such as AlCu and TiW, and conductive polymers.

水平閘極電極層1806之各者可由氧化物/氮化物/氧化物(ONO)堆疊1810 (諸如環繞閘極電極層1806a之1810a)環繞以提供閘極電極之間的絕緣。Each of the horizontal gate electrode layers 1806 may be surrounded by an oxide/nitride/oxide (ONO) stack 1810 (such as 1810a surrounding gate electrode layer 1806a) to provide insulation between the gate electrodes.

3D記憶體結構1800中之第二垂直結構1808b相同於第一垂直結構1808a組態。兩個垂直結構1808a及1808b依允許整合閘極電極層1806及ONO堆疊1810之一間距相鄰於彼此水平配置。第一及第二垂直結構1808a、1808b與水平閘極電極層1806一起可組態為一3D NOR記憶體架構。The second vertical structure 1808b in the 3D memory structure 1800 is configured identically to the first vertical structure 1808a. The two vertical structures 1808a and 1808b are arranged horizontally adjacent to each other at a spacing that allows for integration of the gate electrode layer 1806 and the ONO stack 1810. Together, the first and second vertical structures 1808a and 1808b, along with the horizontal gate electrode layer 1806, form a 3D NOR memory architecture.

圖19繪示一平面FeFET 1900之一實施例。FeFET 1900包括其上形成各種層及組件之一基板1910。基板1910可由矽或其他適合半導體材料組成。一層TiN 1912安置於基板1910之頂部上。TiN層1912可充當一電極且可藉由濺射或其他適合沈積技術來沈積。FIG19 illustrates an embodiment of a planar FeFET 1900. FeFET 1900 includes a substrate 1910 upon which various layers and components are formed. Substrate 1910 can be composed of silicon or other suitable semiconductor materials. A layer of TiN 1912 is disposed on top of substrate 1910. TiN layer 1912 can serve as an electrode and can be deposited by sputtering or other suitable deposition techniques.

一層HZO 1908安置於基板1910及TiN層1912之頂部上。HZO 1908包括鉿、鋯及氧且可展現鐵電性質。HZO 1908可藉由ALD、CVD、PVD或其他適合沈積方法來沈積且可具有在5 nm至50 nm之範圍內之一厚度。作為一鐵電層,HZO 1908實現資料在FeFET 1900中之非揮發性儲存。A layer of HZO 1908 is disposed on top of substrate 1910 and TiN layer 1912. HZO 1908 comprises einsteinium, zirconium, and oxygen and can exhibit ferroelectric properties. HZO 1908 can be deposited by ALD, CVD, PVD, or other suitable deposition methods and can have a thickness ranging from 5 nm to 50 nm. As a ferroelectric layer, HZO 1908 enables non-volatile data storage in FeFET 1900.

一層IWO 1906保形沈積於HZO 1908之頂部上。IWO 1906包括銦、鎢及氧。其可藉由濺射或其他適合技術來沈積且可具有在各種範圍內之一厚度。IWO層1906充當FeFET 1900中之一控制氧化物層。A layer of IWO 1906 is conformally deposited on top of HZO 1908. IWO 1906 includes indium, tungsten, and oxygen. It can be deposited by sputtering or other suitable techniques and can have a thickness within a variety of ranges. IWO layer 1906 serves as a control oxide layer in FeFET 1900.

一汲極接點1904及源極接點1914形成於IWO層1906之頂部上。汲極接點1904及源極接點1914可包括諸如銅、鋁或其合金之金屬且可藉由PVD、CVD或其他適合方法來沈積。汲極接點1904及源極接點1914允許電連接至FeFET 1900。其等可具有在50 nm至500 nm之範圍內之厚度。A drain contact 1904 and a source contact 1914 are formed on top of the IWO layer 1906. The drain contact 1904 and the source contact 1914 may comprise a metal such as copper, aluminum, or alloys thereof and may be deposited by PVD, CVD, or other suitable methods. The drain contact 1904 and the source contact 1914 allow electrical connection to the FeFET 1900. They may have a thickness in the range of 50 nm to 500 nm.

在操作中,施加至汲極1904、源極1914及TiN閘極接點1912之一電壓可控制HZO層1908之鐵電極化。極化狀態可用於依一非揮發性方式儲存資訊以實現記憶體儲存能力。IWO層1906幫助提高FeFET 1900之切換速度及耐久性。總言之,圖19中所展示之層狀結構使一FeFET 1900能夠適合於非揮發性記憶體應用。In operation, a voltage applied to drain 1904, source 1914, and TiN gate contact 1912 controls the polarization of the iron electrode in HZO layer 1908. This polarization state can be used to store information in a non-volatile manner, enabling memory storage capabilities. IWO layer 1906 helps improve the switching speed and endurance of FeFET 1900. Overall, the layered structure shown in FIG19 makes FeFET 1900 suitable for non-volatile memory applications.

圖20呈現一鐵電FET (FeFET)裝置之傳送特性,其繪示x軸上之閘極電壓(V_GS)與y軸上之所得汲極電流(I_D)之間的關係。圖20可展示本文中所揭示之一FeFET之特性。x軸自-1 V跨越至1 V,同時y軸以一對數刻度顯示自10^-12 A/μm至10^-4 A/μm之電流值。Figure 20 shows the transfer characteristics of a ferroelectric FET (FeFET) device, plotting the gate voltage (V_GS) on the x-axis and the resulting drain current (I_D) on the y-axis. Figure 20 illustrates the characteristics of a FeFET disclosed herein. The x-axis spans from -1 V to 1 V, while the y-axis displays current values on a logarithmic scale from 10^-12 A/μm to 10^-4 A/μm.

兩個不同曲線表示FeFET在順時針(CW)及逆時針(MW)極化下之汲極電流行為。藍色曲線(CW)在-1 V處開始於約10^-11 A/μm且在-1 V附近展現一急劇增大以在1 V處達到正好高於10^-5 A/μm。此表明在順時針極化狀態中在正向偏壓下由FeFET展現之汲極電流之快速增大。Two different curves show the drain current behavior of the FeFET under clockwise (CW) and counterclockwise (MW) polarization. The blue curve (CW) starts at approximately 10^-11 A/μm at -1 V and exhibits a sharp increase near -1 V, reaching just over 10^-5 A/μm at 1 V. This demonstrates the rapid increase in drain current exhibited by the FeFET under forward bias in the clockwise polarization state.

相反地,紅色曲線(MW)在-1 V處開始於約10^-11 A/μm且在其接近0 V時逐漸增大更多。在約1 V處,其接著與超過1 V之藍色曲線緊密跟隨。此表明在反向偏壓條件下與極化狀態無關之相當汲極電流行為。In contrast, the red curve (MW) starts at approximately 10^-11 A/μm at -1 V and gradually increases as it approaches 0 V. At approximately 1 V, it then closely follows the blue curve above 1 V. This indicates fairly draining current behavior under reverse bias conditions, independent of the polarization state.

應注意,在-1 V附近之負電壓範圍內,紅色與藍色曲線之間的間隔跨越幾個數量級。切斷狀態電流之此實質差異突顯取決於FeFET之極化方向而利用FeFET可達成之非揮發性記憶體效應。此大記憶體視窗在左上方標記為「大記憶體視窗」之綠色框中被明確標註。Note that in the negative voltage range around -1 V, the gap between the red and blue curves spans several orders of magnitude. This substantial difference in the off-state current highlights the non-volatile memory effect achievable with FeFETs, which depends on the polarization orientation of the FeFET. This large memory window is clearly marked in the green box labeled "Large Memory Window" in the upper left.

提供之額外關鍵細節包含FeFET裝置尺寸,指定1 μm/50 nm之一寬度/長度比。汲極電壓亦固定在0.05 V。注釋沿曲線之特定點,諸如紅色MW曲線上之「MW @5e-7 A/μm=1 V」表示5×10^-7 A/μm汲極電流處之1 V記憶體視窗。另一標記點係藍色CW曲線上之「CW @-0.5 V=1×10^6」,其突顯在-0.5 V閘極電壓處1×10-6 A/μm之順時針電流值。Additional key details provided include the FeFET device dimensions, specifying a width/length ratio of 1 μm/50 nm. The drain voltage is also fixed at 0.05 V. Specific points along the curves are annotated, such as "MW @5e-7 A/μm = 1 V" on the red MW curve, which indicates the 1 V memory window at a drain current of 5×10^-7 A/μm. Another marked point is "CW @-0.5 V = 1×10^6" on the blue CW curve, which highlights a clockwise current value of 1×10-6 A/μm at a gate voltage of -0.5 V.

總言之,圖20全面描繪FeFET裝置之雙向傳送特性,其突顯透過極化切換可達成之大記憶體視窗且提供詳細電壓、電流及尺寸規格以充分傳達量測條件及電晶體效能。成對曲線實際上比較全閘極電壓範圍內之順時針與逆時針操作模式。In summary, Figure 20 provides a comprehensive depiction of the bidirectional transfer characteristics of the FeFET device, highlighting the large memory window achievable through polarization switching and providing detailed voltage, current, and dimensional specifications to fully convey the measurement conditions and transistor performance. The paired curves actually compare clockwise and counterclockwise operating modes over the full gate voltage range.

熟習技術者可在不背離本發明之情況下設想各種替代及修改。因此,本發明意欲涵蓋所有此等替代、修改及變動。另外,儘管已在圖式中展示及/或本文中討論本發明之若干實施例,但不意欲使本發明受限於此,因為本發明之範疇意欲與技術允許一樣廣泛且說明書亦如此解讀。因此,以上描述不應解釋為限制,而是僅為特定實施例之範例。且熟習技術者將展望隨附申請專利範圍之範疇及精神內之其他修改。與上文及/或隨附申請專利範圍中所描述之元件、步驟、方法及技術無實質不同之其他元件、步驟、方法及技術亦意欲在本發明之範疇內。Those skilled in the art may envision various substitutions and modifications without departing from the present invention. Therefore, the present invention is intended to cover all such substitutions, modifications, and variations. In addition, although several embodiments of the present invention have been shown in the drawings and/or discussed herein, it is not intended that the present invention be limited thereto, as the scope of the present invention is intended to be as broad as the art allows and the specification is to be interpreted so. Therefore, the above description should not be interpreted as limiting, but merely as an example of a particular embodiment. And those skilled in the art will envision other modifications within the scope and spirit of the appended claims. Other elements, steps, methods, and techniques that are not materially different from the elements, steps, methods, and techniques described above and/or in the appended claims are also intended to be within the scope of the present invention.

呈現圖式中所展示之實施例僅用於演示本發明之某些實例。且所描述之圖式僅具繪示性而非限制性。在圖式中,出於繪示目的,一些元件之大小可放大且未按特定比例繪製。另外,取決於內文,圖式內所展示之具有相同符號之元件可為相同元件或類似元件。The embodiments shown in the drawings are intended only to demonstrate certain embodiments of the present invention. The drawings are illustrative and non-restrictive. For illustrative purposes, the size of some elements in the drawings may be exaggerated and not drawn to scale. Furthermore, depending on the context, elements with the same reference numerals in the drawings may represent the same or similar elements.

當術語「包括」用於本描述及申請專利範圍中時,其不排除其他元件或步驟。當在提及一單數名詞時使用不定冠詞或定冠詞(例如「一」或「該」)時,此包含該名詞之複數,除非另有明確說明。因此,術語「包括」不應解譯為限於其後所列之項目;其不排除其他元件或步驟,因此表述「一裝置包括項目A及B」之範疇不應限於裝置僅由組件A及B組成。此表述意味,相對於本發明,裝置之僅有相關組件係A及B。When the term "comprising" is used in this description and the scope of the patent application, it does not exclude other elements or steps. When the indefinite or definite article (for example, "a" or "the") is used when referring to a singular noun, this includes the plural of the noun unless otherwise expressly stated. Therefore, the term "comprising" should not be interpreted as being limited to the items listed thereafter; it does not exclude other elements or steps. Therefore, the scope of the expression "a device comprising items A and B" should not be limited to the device consisting of only components A and B. This expression means that, with respect to the present invention, the only relevant components of the device are A and B.

此外,不論在[實施方式]還是申請專利範圍中使用,術語「第一」、「第二」、「第三」及其類似者被提供用於區分類似元件且未必描述一循序或時間順序。應理解,如此使用之術語在適當情況下可互換(除非另有清楚揭示)且本文中所描述之本發明之實施例能夠依除本文中所描述或所繪示之外的序列及/或配置操作。Furthermore, whether used in the embodiments or the claims, the terms "first," "second," "third," and the like are provided to distinguish similar elements and do not necessarily describe a sequential or chronological order. It should be understood that the terms so used are interchangeable where appropriate (unless otherwise clearly disclosed) and that the embodiments of the present invention described herein are capable of operating in sequences and/or configurations other than those described or illustrated herein.

本文中所描述之特性及實例之各者及其等之組合可被視為由本發明涵蓋。本發明因此涉及以下非限制性編號態樣:Each of the features and embodiments described herein and any combination thereof may be considered to be encompassed by the present invention. The present invention therefore relates to the following non-limiting numbered aspects:

1. 一種積體電路,其包括:複數個微儲存庫,該複數個微儲存庫之各者相對於彼此依間隔關係安置且相鄰於一第一表面,該複數個微儲存庫包含一第一微儲存庫;及複數個接合區域,該複數個接合區域之各者安置於該第一表面上且相鄰於該複數個微儲存庫之一各自者,該複數個接合區域包含與該第一微儲存庫操作地通信之一第一接合區域。1. An integrated circuit comprising: a plurality of micro-storage banks, each of the plurality of micro-storage banks being disposed in a spaced relationship relative to one another and adjacent to a first surface, the plurality of micro-storage banks including a first micro-storage bank; and a plurality of bonding regions, each of the plurality of bonding regions being disposed on the first surface and adjacent to a respective one of the plurality of micro-storage banks, the plurality of bonding regions including a first bonding region in operative communication with the first micro-storage bank.

2. 如態樣1之積體電路,其中該第一接合區域包含各與該第一微儲存庫操作地通信之複數個接合。2. The integrated circuit of aspect 1, wherein the first bonding region comprises a plurality of bondings each in operative communication with the first micro-storage bank.

3. 如態樣2之積體電路,其中該複數個接合係無凸塊接合。3. The integrated circuit of aspect 2, wherein the plurality of joints are bumpless joints.

4. 如任何前述態樣之積體電路,其中該第一微儲存庫具有在4千位元組至1百萬位元組之間的一容量。4. An integrated circuit as in any preceding aspect, wherein the first micro-storage bank has a capacity between 4 kilobytes and 1 million bytes.

5. 如任何前述態樣之積體電路,其中該第一微儲存庫具有在4千位元組至128千位元組之間的一容量。5. The integrated circuit of any preceding aspect, wherein the first micro-storage bank has a capacity between 4 kilobytes and 128 kilobytes.

6. 如任何前述態樣之積體電路,其中該第一微儲存庫具有在4千位元組至16千位元組之間的一容量。6. An integrated circuit as in any preceding aspect, wherein the first micro-storage bank has a capacity between 4 kilobytes and 16 kilobytes.

7. 如前述態樣中任一者之積體電路,其中該第一微儲存庫具有小於256微米×小於256微米之尺寸且在一垂直維度上延伸一預定距離。7. The integrated circuit of any of the preceding aspects, wherein the first micro-repository has dimensions of less than 256 microns x less than 256 microns and extends a predetermined distance in a vertical dimension.

8. 如前述態樣中任一者之積體電路,其中該第一微儲存庫具有32微米×32微米之尺寸且在一垂直維度上延伸一預定距離。8. The integrated circuit of any of the preceding aspects, wherein the first micro-repository has dimensions of 32 microns x 32 microns and extends a predetermined distance in a vertical dimension.

9. 如態樣8之積體電路,其中該垂直維度對應於至少8個記憶體層。9. The integrated circuit of aspect 8, wherein the vertical dimension corresponds to at least 8 memory layers.

10. 如前述態樣中任一者之積體電路,其中針對該微儲存庫之各層,該第一微儲存庫之位元密度大於0. 2吉位元/平方毫米。10. An integrated circuit as in any of the preceding aspects, wherein the bit density of the first micro-storage bank is greater than 0.2 gigabits per square millimeter for each layer of the micro-storage bank.

11. 如前述態樣中任一者之積體電路,其中該第一微儲存庫安置於一晶粒之一後段製程部分上。11. The integrated circuit of any of the preceding aspects, wherein the first micro-repository is disposed on a back-end-of-line portion of a die.

12. 如態樣1之積體電路,其進一步包括相鄰於該第一微儲存庫安置之一SRAM儲存庫。12. The integrated circuit of aspect 1, further comprising an SRAM memory bank disposed adjacent to the first micro memory bank.

13. 如態樣12之積體電路,其中該第一接合區域與該SRAM儲存庫操作地通信。13. The integrated circuit of aspect 12, wherein the first bonding region is in operative communication with the SRAM memory bank.

14. 如態樣12之積體電路,其進一步包括安置於該第一表面上且與該SRAM儲存庫操作地通信之一第二接合區域。14. The integrated circuit of aspect 12, further comprising a second bonding region disposed on the first surface and in operative communication with the SRAM memory bank.

15. 如態樣12之積體電路,其中該複數個微儲存庫形成於一第一晶粒上且該SRAM儲存庫形成於一第二晶粒上,其中該第一及第二晶粒接合在一起。15. The integrated circuit of aspect 12, wherein the plurality of micro-banks are formed on a first die and the SRAM bank is formed on a second die, wherein the first and second dies are bonded together.

16. 如前述態樣中任一者之積體電路,其進一步包括相鄰於該微儲存庫之一DRAM儲存庫。16. The integrated circuit of any of the preceding aspects, further comprising a DRAM memory bank adjacent to the micro memory bank.

17. 如態樣16之積體電路,其中該第一接合區域與該DRAM儲存庫操作地通信。17. The integrated circuit of aspect 16, wherein the first bonding region is in operative communication with the DRAM bank.

18. 如態樣16之積體電路,其進一步包括安置於該第一表面上且與該DRAM儲存庫操作地通信之一第二接合區域。18. The integrated circuit of aspect 16, further comprising a second bonding region disposed on the first surface and in operative communication with the DRAM bank.

19. 如態樣16之積體電路,其中該複數個微儲存庫形成於一第一晶粒上且該DRAM儲存庫形成於一第三晶粒上,其中該第一及第三晶粒剛性地固定在一起。19. The integrated circuit of aspect 16, wherein the plurality of micro-banks are formed on a first die and the DRAM bank is formed on a third die, wherein the first and third dies are rigidly fixed together.

20. 如態樣1之積體電路,其進一步包括操作地耦合至該第一接合區域之一讀取位址暫存器,該讀取位址暫存器經組態以保存一讀取位址且將其傳送至該第一微儲存庫。20. The integrated circuit of aspect 1, further comprising a read address register operatively coupled to the first bonding region, the read address register configured to store a read address and transfer it to the first micro-bank.

21. 如態樣1或20之積體電路,其進一步包括操作地耦合至該第一微儲存庫、經組態以自該第一微儲存庫接收及保存讀取資料之一讀取資料暫存器。21. The integrated circuit of aspect 1 or 20, further comprising a read data register operatively coupled to the first micro-storage bank and configured to receive and store read data from the first micro-storage bank.

22. 如態樣21之積體電路,其中該讀取資料暫存器操作地耦合至該第一接合區域以將讀取資料傳送至該第一接合區域。22. The integrated circuit of aspect 21, wherein the read data register is operatively coupled to the first bonding region to transfer read data to the first bonding region.

23. 如態樣21之積體電路,其中該讀取資料暫存器操作地耦合至該複數個接合區域之一第二接合區域以將讀取資料傳送至該第二接合區域。23. The integrated circuit of aspect 21, wherein the read data register is operatively coupled to a second bonding region of the plurality of bonding regions to transfer read data to the second bonding region.

24. 如態樣21至23中任一者之積體電路,其進一步包括與該讀取資料暫存器操作地通信且經組態以自該讀取資料暫存器接收及保存讀取資料之一第二讀取資料暫存器。24. The integrated circuit of any one of aspects 21 to 23, further comprising a second read data register in operative communication with the read data register and configured to receive and store read data from the read data register.

25. 如取決於態樣22之態樣24之積體電路,其中該第二讀取資料暫存器安置於具有一第二面之一晶粒上,其中該第二面包含操作地耦合至該第一表面之該第一接合區域之一讀取資料接合區域。25. The integrated circuit of aspect 24 depending upon aspect 22, wherein the second read data register is disposed on a die having a second side, wherein the second side includes a read data bonding region operatively coupled to the first bonding region of the first surface.

26. 如取決於態樣23之態樣24之積體電路,其中該第二讀取資料暫存器安置於具有一第二面之一晶粒上,其中該第二面包含操作地耦合至該第一表面之該第二接合區域之一讀取資料接合區域。26. The integrated circuit of aspect 24 as dependent upon aspect 23, wherein the second read data register is disposed on a die having a second side, wherein the second side includes a read data bonding region operatively coupled to the second bonding region of the first surface.

27. 如前述態樣中任一者之積體電路,其進一步包括安置於不同於具有該複數個微儲存庫之一晶粒的一晶粒上之一第二讀取資料暫存器。27. The integrated circuit of any of the preceding aspects, further comprising a second read data register disposed on a die different from a die having the plurality of micro-banks.

28. 如前述態樣中任一者之積體電路,其進一步包括安置於不同於具有該複數個微儲存庫之一晶粒的一晶粒上之一第二讀取位址暫存器。28. The integrated circuit of any of the preceding aspects, further comprising a second read address register disposed on a die different from a die having the plurality of micro-banks.

29. 如態樣1或20中一者之積體電路,其進一步包括一第二讀取位址暫存器。29. The integrated circuit of any one of aspects 1 or 20, further comprising a second read address register.

30. 如態樣29之積體電路,其進一步包括一第二表面上之一第二接合區域。30. The integrated circuit of aspect 29, further comprising a second bonding region on a second surface.

31. 如態樣30之積體電路,其中該第二讀取位址暫存器經組態以接收及保存該讀取位址且經由該第二表面將該讀取位址傳送至該讀取位址暫存器。31. The integrated circuit of aspect 30, wherein the second read address register is configured to receive and store the read address and the read address is transmitted to the read address register via the second surface.

32. 如態樣31之積體電路,其中該第二表面及該第一表面接合在一起。32. The integrated circuit of aspect 31, wherein the second surface and the first surface are bonded together.

33. 如前述態樣中任一者之積體電路,其進一步包括一穿矽通路,該穿矽通路在該穿矽通路之一第一端上操作地耦合至一第三表面,該第三表面位於該第一表面之一對置側上。33. The integrated circuit of any of the preceding aspects, further comprising a through-silicon via (TSV) operatively coupled at a first end of the TSV to a third surface located on an opposite side of the first surface.

34. 如態樣33之積體電路,其進一步包括耦合至該第一表面及該穿矽通路之一第二端之一互連件。34. The integrated circuit of aspect 33, further comprising an interconnect coupled to the first surface and a second end of the through-silicon via.

35. 如態樣1之積體電路,其進一步包括與該第一接合區域操作地通信之一第二微儲存庫。35. The integrated circuit of aspect 1, further comprising a second micro-storage bank in operative communication with the first bonding region.

36. 如態樣35之積體電路,其進一步包括操作地耦合至該第一微儲存庫以自該第一微儲存庫接收一第一讀取資料之一多工器,該多工器操作地耦合至該第二微儲存庫以自該第二微儲存庫接收一第二讀取資料,其中該多工器經組態以在該第一讀取資料與該第二讀取資料之間選擇用於輸出。36. The integrated circuit of aspect 35, further comprising a multiplexer operatively coupled to the first micro-storage bank to receive a first read data from the first micro-storage bank, the multiplexer operatively coupled to the second micro-storage bank to receive a second read data from the second micro-storage bank, wherein the multiplexer is configured to select between the first read data and the second read data for output.

37. 如態樣36之積體電路,其進一步包括與該多工器操作地通信且經組態以串列讀出該第一讀取資料及該第二讀取資料之一計數器。37. The integrated circuit of aspect 36, further comprising a counter in operative communication with the multiplexer and configured to serially read out the first read data and the second read data.

38. 如態樣36或37中任一者之積體電路,其進一步包括經組態以接收該第一讀取資料或該第二讀取資料用於保存於其中之一讀取資料暫存器。38. The integrated circuit of any one of aspects 36 or 37, further comprising a read data register configured to receive the first read data or the second read data for storage therein.

39. 如態樣38之積體電路,其進一步包括該第一表面上之一第二接合區域,其中該讀取資料暫存器耦合至該第二接合區域以將該保存第一讀取資料或第二讀取資料自該多工器傳送至該第二接合區域。39. The integrated circuit of aspect 38, further comprising a second bonding region on the first surface, wherein the read data register is coupled to the second bonding region to transfer the stored first read data or the second read data from the multiplexer to the second bonding region.

40. 如前述態樣中任一者之積體電路,其進一步包括包含具有該複數個微儲存庫之一第一晶粒之一總成。40. The integrated circuit of any of the preceding aspects, further comprising an assembly comprising a first die having the plurality of micro-banks.

41. 如前述態樣中任一者之積體電路,其進一步包括包含具有該複數個微儲存庫之一第一晶粒之一總成,該複數個微儲存庫包含該第一微儲存庫及一第二微儲存庫。41. The integrated circuit of any of the preceding aspects, further comprising an assembly comprising a first die having the plurality of micro-storage banks, the plurality of micro-storage banks comprising the first micro-storage bank and a second micro-storage bank.

42. 如態樣40或41中任一者之積體電路,其進一步包括具有一讀取位址暫存器及一讀取資料暫存器之一第二晶粒,其中該第一晶粒接合至該第二晶粒。42. The integrated circuit of any one of aspects 40 or 41, further comprising a second die having a read address register and a read data register, wherein the first die is bonded to the second die.

43. 如態樣42之積體電路,其中該第一晶粒包含該第一表面上之一第二接合區域,其中該第二晶粒包含一第三接合區域及一第四接合區域,其中該第一晶粒之該第一接合區域耦合至該第二晶粒之該第三接合區域且該第一晶粒之該第二接合區域耦合至該第二晶粒之該第四接合區域。43. The integrated circuit of aspect 42, wherein the first die includes a second bonding region on the first surface, wherein the second die includes a third bonding region and a fourth bonding region, wherein the first bonding region of the first die is coupled to the third bonding region of the second die and the second bonding region of the first die is coupled to the fourth bonding region of the second die.

44. 如態樣43之積體電路,其中該讀取位址暫存器操作地耦合至該第三接合區域以將讀取資料傳送至該第三接合區域。44. The integrated circuit of aspect 43, wherein the read address register is operatively coupled to the third bonding region to transfer read data to the third bonding region.

45. 如態樣43或42中任一者之積體電路,其中該讀取資料暫存器操作地耦合至該第二晶粒之該第四接合區域以自該第四接合區域接收讀取資料。45. The integrated circuit of any one of aspects 43 or 42, wherein the read data register is operatively coupled to the fourth bonding region of the second die to receive read data from the fourth bonding region.

46. 如態樣41至45中任一者之積體電路,其中該第一晶粒包含經組態以接收及保存一讀取位址之一第二讀取位址暫存器,該第二讀取位址暫存器經組態以將一讀取位址傳送至該第一微儲存庫及該第二微儲存庫。46. The integrated circuit of any one of aspects 41 to 45, wherein the first die includes a second read address register configured to receive and store a read address, the second read address register configured to transmit a read address to the first micro-storage bank and the second micro-storage bank.

47. 如態樣45之積體電路,其中該第一晶粒進一步包括經組態以在該第一微儲存庫之一輸出與該第二微儲存庫之一輸出之間選擇之一多工器。47. The integrated circuit of aspect 45, wherein the first die further comprises a multiplexer configured to select between an output of the first micro-bank and an output of the second micro-bank.

48. 如態樣47之積體電路,其進一步包括該第一晶粒之一第二表面上之一第二接合區域,其中一互連件將該第二接合區域連接至該多工器之一輸入,其中該多工器經組態以在該第一微儲存庫之該輸出、該第二微儲存庫之該輸出及來自該接合區域之通信之間選擇。48. The integrated circuit of aspect 47, further comprising a second bonding area on a second surface of the first die, wherein an interconnect connects the second bonding area to an input of the multiplexer, wherein the multiplexer is configured to select between the output of the first micro-storage bank, the output of the second micro-storage bank, and communication from the bonding area.

49. 如態樣47或48中任一者之積體電路,其進一步包括經組態以控制該多工器之一相位計數器。49. The integrated circuit of any one of aspects 47 or 48, further comprising a phase counter configured to control the multiplexer.

50. 如態樣47或48中一者之積體電路,其進一步包括經組態以接收及保存該多工器之一輸出之一第三位址暫存器。50. The integrated circuit of one of aspects 47 or 48, further comprising a third address register configured to receive and store an output of the multiplexer.

51. 如態樣49之積體電路,其中該第三位址暫存器操作地耦合至該第一晶粒之一第二接合區域。51. The integrated circuit of aspect 49, wherein the third address register is operatively coupled to a second bonding region of the first die.

52. 如態樣42至50中任一者之積體電路,其進一步包括耦合至該讀取位址暫存器及晶粒之一第二表面之一TSV。52. The integrated circuit of any one of aspects 42 to 50, further comprising a TSV coupled to the read address register and a second surface of the die.

53. 如態樣42至51中任一者之積體電路,其進一步包括耦合至該第二表面上之該第一晶粒之一第二接合區域及一第二讀取資料暫存器之一第二TSV。53. The integrated circuit of any one of aspects 42 to 51, further comprising a second TSV coupled to a second bonding region of the first die and a second read data register on the second surface.

54. 如態樣1之積體電路,其中一多工器經組態以在該複數個微儲存庫之一者之間選擇。54. The integrated circuit of aspect 1, wherein a multiplexer is configured to select between one of the plurality of micro-banks.

55. 如態樣54之積體電路,其中一相位計數器經組態以控制該多工器之該選擇。55. The integrated circuit of aspect 54, wherein a phase counter is configured to control the selection of the multiplexer.

56. 如態樣1之積體電路,其進一步包括操作地耦合至該第一表面及具有該複數個微儲存庫之一晶粒之一第二表面之一穿矽通路(TSV)。56. The integrated circuit of aspect 1, further comprising a through-silicon via (TSV) operatively coupled to the first surface and a second surface of a die having the plurality of micro-banks.

57. 如態樣1之積體電路,其中一穿矽通路經組態以促進耦合至具有該複數個微儲存庫之一第一晶粒之一第二晶粒之間的通信。57. The integrated circuit of aspect 1, wherein a through-silicon via is configured to facilitate communication between a second die coupled to a first die having the plurality of micro-banks.

58. 如態樣1之積體電路,其中該第一微儲存庫包括由複數個電晶體形成之至少一行3D-NOR,其中各電晶體包含耦合至一讀取-寫入啟用線之一閘極、耦合至一位元線之一源極及耦合至一選擇線之一汲極。58. The integrated circuit of aspect 1, wherein the first micro-bank comprises at least one row of 3D-NOR formed by a plurality of transistors, wherein each transistor comprises a gate coupled to a read-write enable line, a source coupled to a bit line, and a drain coupled to a select line.

59. 如態樣1之積體電路,其中該第一微儲存庫包括由複數個電晶體形成之一行3D-NAND,各電晶體具有耦合至一讀取/寫入啟用線之一閘極、耦合至一位元線之一源極及耦合至一第二電晶體之一源極之一汲極。59. The integrated circuit of aspect 1, wherein the first micro-bank comprises a row of 3D-NAND transistors formed by a plurality of transistors, each transistor having a gate coupled to a read/write enable line, a source coupled to a bit line, and a drain coupled to a source of a second transistor.

60. 如態樣1之積體電路,其中該第一微儲存庫包括由複數個電晶體形成之具有一傳遞閘極之一行3D-NAND,各電晶體具有耦合至一讀取/寫入啟用線之一閘極、耦合至一位元線之一源極、耦合至一第二電晶體之一源極之一汲極及耦合至所有該複數個電晶體之一傳遞閘極。60. The integrated circuit of aspect 1, wherein the first micro-bank comprises a row of 3D-NAND transistors having a transfer gate formed of a plurality of transistors, each transistor having a gate coupled to a read/write enable line, a source coupled to a bit line, a drain coupled to a source of a second transistor, and a transfer gate coupled to all of the plurality of transistors.

61. 如態樣1之積體電路,其中該第一微儲存庫包括由複數個電晶體形成之具有獨立讀取及寫入啟用之一行3D-NOR,其中各電晶體包含耦合至一位元線之一源極、耦合至一讀取啟用線之一汲極及耦合至一寫入啟用線之一閘極。61. The integrated circuit of aspect 1, wherein the first micro-bank comprises a column of 3D-NOR with independent read and write enable formed by a plurality of transistors, wherein each transistor includes a source coupled to a bit line, a drain coupled to a read enable line, and a gate coupled to a write enable line.

62. 如前述態樣中任一者之積體電路,其中該複數個微儲存庫包含經組態以耗散由該等微儲存庫在操作期間產生之熱之一熱管理層。62. The integrated circuit of any of the preceding aspects, wherein the plurality of micro-banks include a thermal management layer configured to dissipate heat generated by the micro-banks during operation.

63. 如態樣62之積體電路,其中該熱管理層包括選自由銅、鋁、金剛石及石墨烯組成之一群組之具有高導熱性之一材料。63. The integrated circuit of aspect 62, wherein the thermal management layer comprises a material having high thermal conductivity selected from the group consisting of copper, aluminum, diamond, and graphene.

64. 如前述態樣中任一者之積體電路,其進一步包括操作地耦合至至少一個微儲存庫以使寫入至該微儲存庫或自該微儲存庫讀取之資料安全之一基於硬體之加密模組。64. The integrated circuit of any of the preceding aspects, further comprising a hardware-based encryption module operatively coupled to at least one microstorage to secure data written to or read from the microstorage.

65. 如前述態樣中任一者之積體電路,其進一步包括經組態以基於該複數個微儲存庫之操作狀態來調整供應至該複數個微儲存庫之電壓及電流之一功率管理電路。65. The integrated circuit of any of the preceding aspects, further comprising a power management circuit configured to adjust voltage and current supplied to the plurality of micro-storage banks based on an operating state of the plurality of micro-storage banks.

66. 如態樣65之積體電路,其中該功率管理電路包含在不活動週期期間減少該等微儲存庫之功率供應之一低功率模式。66. The integrated circuit of aspect 65, wherein the power management circuit includes a low power mode that reduces power supply to the micro-banks during inactive periods.

67. 如前述態樣中任一者之積體電路,其進一步包括操作地耦合至該複數個微儲存庫以增強資料傳送之信號完整性之一信號調節電路。67. The integrated circuit of any of the preceding aspects, further comprising a signal conditioning circuit operatively coupled to the plurality of micro-storage banks to enhance signal integrity of data transmission.

68. 如態樣67之積體電路,其中該信號調節電路包括濾波器、放大器或錯誤校正編碼器。68. The integrated circuit of aspect 67, wherein the signal conditioning circuit comprises a filter, an amplifier, or an error correction encoder.

69. 如前述態樣中任一者之積體電路,其進一步包括經組態以監測該等微儲存庫之健康及效能且向一外部控制器報告度量之一診斷模組。69. The integrated circuit of any of the preceding aspects, further comprising a diagnostic module configured to monitor the health and performance of the micro-storages and report metrics to an external controller.

70. 如態樣69之積體電路,其中該診斷模組能夠對該等微儲存庫執行自測試且在偵測到故障時產生警示。70. The integrated circuit of aspect 69, wherein the diagnostic module is capable of performing self-tests on the micro-storages and generating an alarm when a fault is detected.

71. 如前述態樣中任一者之積體電路,其中各微儲存庫包含能夠隔離及繞過故障記憶體格之一內建自修復機構。71. An integrated circuit as in any of the preceding aspects, wherein each micro-storage bank includes a built-in self-repair mechanism capable of isolating and bypassing faulty memory cells.

72. 如態樣71之積體電路,其中該自修復機構利用呈可經動態分配以替換該等故障格之備用記憶體格之形式之冗餘。72. The integrated circuit of aspect 71, wherein the self-repair mechanism utilizes redundancy in the form of spare memory cells that can be dynamically allocated to replace the failed cells.

73. 如前述態樣中任一者之積體電路,其中該等微儲存庫依一矩陣組態配置以實現並行處理及資料擷取。73. An integrated circuit as in any preceding aspect, wherein the micro-storage banks are arranged in a matrix configuration to enable parallel processing and data retrieval.

74. 如態樣73之積體電路,其中該矩陣組態包含用於促進對個別微儲存庫之存取之列及行解碼器。74. The integrated circuit of aspect 73, wherein the matrix configuration includes row and column decoders for facilitating access to individual micro-banks.

75. 如前述態樣中任一者之積體電路,其進一步包括一撓性基板以使該積體電路能夠順應非平坦表面。75. The integrated circuit of any of the preceding aspects, further comprising a flexible substrate to enable the integrated circuit to conform to non-planar surfaces.

76. 如態樣75之積體電路,其中該撓性基板包括選自由聚醯亞胺、PEEK、液晶聚合物及撓性玻璃組成之一群組之一材料。76. The integrated circuit of aspect 75, wherein the flexible substrate comprises a material selected from the group consisting of polyimide, PEEK, liquid crystal polymer, and flexible glass.

77. 如前述態樣中任一者之積體電路,其中該第一微儲存庫經組態以作為一處理器之一快取記憶體操作。77. The integrated circuit of any of the preceding aspects, wherein the first micro-bank is configured to operate as a cache memory for a processor.

78. 如態樣77之積體電路,其中該快取記憶體依以下模式之一者操作:直寫、回寫、繞寫或其等之一組合。78. The integrated circuit of aspect 77, wherein the cache memory operates in one of the following modes: write-through, write-back, write-bypass, or a combination thereof.

79. 如前述態樣中任一者之積體電路,其中該第一微儲存庫係用於錯誤校正及資料恢復之一獨立記憶體元件冗餘陣列之部分。79. An integrated circuit as in any of the preceding aspects, wherein the first micro-bank is part of a redundant array of independent memory elements used for error correction and data recovery.

80. 如前述態樣中任一者之積體電路,其中該第一微儲存庫包含用於促進記憶體格之間的資料路由之一縱橫開關架構。80. The integrated circuit of any of the preceding aspects, wherein the first micro-storage bank comprises a crossbar switch architecture for facilitating data routing between memory cells.

81. 如態樣80之積體電路,其中該縱橫開關架構實現該積體電路內之無阻斷資料傳送。81. The integrated circuit of aspect 80, wherein the vertical and horizontal switch architecture enables uninterrupted data transmission within the integrated circuit.

82. 如前述態樣中任一者之積體電路,其中該第一微儲存庫包含一專用讀取周邊裝置。82. An integrated circuit as in any of the preceding aspects, wherein the first micro-storage comprises a dedicated read peripheral device.

83. 如前述態樣中任一者之積體電路,其中該第一微儲存庫包含一專用讀取埠。83. An integrated circuit as in any of the preceding aspects, wherein the first micro-storage comprises a dedicated read port.

84. 如前述態樣中任一者之積體電路,其中該第一微儲存庫包含一專用寫入周邊裝置。84. An integrated circuit as in any of the preceding aspects, wherein the first micro-storage comprises a dedicated write peripheral device.

85. 如前述態樣中任一者之積體電路,其中該第一微儲存庫包含一專用寫入埠。85. An integrated circuit as in any of the preceding aspects, wherein the first micro-storage comprises a dedicated write port.

86. 一種方法,該方法包括:形成如態樣1至85中一者之積體電路。86. A method comprising: forming an integrated circuit as in one of aspects 1 to 85.

87. 一種使用一積體電路之方法,該方法包括:形成如態樣1至85中一者之積體電路。87. A method of using an integrated circuit, the method comprising: forming an integrated circuit as in one of aspects 1 to 85.

100:積體電路(IC) 102:共用寫入埠 104:寫入周邊裝置 106:模組群組 108:模組 110:模組 112:模組 114:模組 116:讀取周邊裝置 118:讀取周邊裝置 120:讀取周邊裝置 122:讀取周邊裝置 124:讀取埠 126:讀取埠 128:讀取埠 130:讀取埠 132:聯鎖 200:總成 202:寫入周邊裝置 204:矽基板 206:第二層 208:頂層 212:積體電路 218:讀取位址 220:讀取資料 222:共用寫入埠 226:第二裝置 228:表面 230:小晶片 232:第一模組 234:第二模組 236:模組群組 300:方塊圖 302:模組群組 304:記憶體模組 306:記憶體模組 308:記憶體模組 310:讀取資料位址空間 312:讀取資料位址空間 314:讀取資料位址空間 316:寫入位址空間 402:模組群組 404:模組 406:模組 408:模組 410:讀取周邊裝置 411:寫入周邊裝置 412:讀取周邊裝置 414:讀取周邊裝置 500:積體電路 502:模組 506:矽基板 508:第二層部分 510:共用寫入周邊裝置 512:寫入位址匯流排 516:寫入資料匯流排 518:表面 520:專用讀取周邊裝置 522:記憶體位元格 524:讀取位址匯流排 526:讀取資料匯流排 600:總成 602:矽基板 604:單晶片網路(NOC) 606:處理元件 608:第二層 610:單晶片系統(SOC) 700:總成 702:輸入動態隨機存取記憶體(DRAM)記憶體 702a:DRAM模組 702b:DRAM模組 702c:DRAM模組 704:輸出DRAM記憶體 704a:DRAM記憶體模組 704b:DRAM記憶體模組 704c:DRAM記憶體模組 706:處理元件陣列 706a,a至706n,n:處理元件 707:第一半導體裝置 708:微儲存庫陣列 708a,a至708n,a:微儲存庫 709:第二半導體裝置 710:層 710a至710d:層 800:總成 802:半導體裝置 804:半導體裝置 806:半導體裝置 808:半導體裝置 810:半導體裝置 812:半導體裝置 814:半導體裝置 816:儲存庫 816a,a至816n,n:儲存庫 900:總成 902:互連 906:半導體裝置 908:無凸塊接合 910:無凸塊接合 912:互連件 914:半導體裝置 916:穿矽通路 918:無凸塊接合 920:無凸塊接合 922:讀取資料暫存器 924:讀取位址暫存器輸入互連件 926:讀取位址暫存器 928:互連件 930:無凸塊接合 932:無凸塊接合 936:微儲存庫 938:讀取位址暫存器 940:互連件 942:讀取資料暫存器 944:穿矽通路 946:互連件 950:讀取資料暫存器輸出互連件 1000:半導體總成 1004:互連件 1006:半導體裝置 1008:無凸塊接合 1010:無凸塊接合 1012:互連件 1014:半導體裝置 1016:穿矽通路(TSV) 1018:無凸塊接合 1022:讀取資料暫存器 1024:讀取位址暫存器輸入互連件 1026:讀取位址暫存器 1028:互連件 1030:無凸塊接合 1032:無凸塊接合 1034:互連件 1036:微儲存庫 1038:讀取位址暫存器 1040:互連件 1042:讀取資料暫存器 1044:TSV 1046:互連件 1048:互連件 1050:讀取資料暫存器輸出互連件 1056:互連件 1058:微儲存庫 1060:多工器 1062:計數器 1100:總成 1102:相位計數器 1104:讀取資料暫存器 1106:頂部半導體裝置 1108:互連件 1110:相位計數器 1112:讀取資料暫存器 1114:多工器 1116:中間半導體裝置 1118:微儲存庫 1120:互連件 1122:底部半導體裝置 1124:讀取資料暫存器 1126:讀取位址暫存器 1128:無凸塊接合 1129:無凸塊接合 1130:互連件 1132:微儲存庫 1134:互連件 1136:TSV 1138:微儲存庫 1140:互連件 1142:讀取位址暫存器 1144:互連件 1146:讀取位址暫存器 1148:互連件 1150:多工器 1152:TSV 1154:讀取資料暫存器 1156:互連件 1158:無凸塊接合 1159:無凸塊接合 1160:無凸塊接合 1161:無凸塊接合 1162:無凸塊接合 1163:無凸塊接合 1164:微儲存庫 1166:互連件 1168:互連件 1170:互連件 1172:互連件 1174:互連件 1176:互連件 1200:三維(3D)記憶體行 1202:鐵電場效電晶體(FeFET) 1202a:FeFET 1202b:FeFET 1202c:FeFET 1202d:FeFET 1204:汲極端子 1204a:汲極端子 1206:閘極端子 1208:源極端子 1208a:源極端子 1210:共同位元線 1212:共同選擇線 1214:讀取/寫入啟用線 1214a:讀取/寫入啟用線 1300:三維(3D)記憶體行 1302a:FeFET 1302b:FeFET 1302c:FeFET 1302d:FeFET 1304:源極端子 1306:閘極端子 1308:汲極端子 1310:位元線 1314a:讀取/寫入啟用線 1400:3D記憶體行 1402a:FeFET 1402b:FeFET 1402c:FeFET 1402d:FeFET 1404:源極端子 1404a:源極 1406:閘極端子 1406a:閘極 1408:汲極 1408a:汲極 1410:位元線 1414:讀取/寫入啟用線 1416:傳遞閘極線 1418:傳遞閘極 1500:三維(3D)記憶體行 1502:FeFET 1502a:FeFET 1502b:FeFET 1502c:FeFET 1502d:FeFET 1504:源極端子 1504a:源極端子 1506:閘極端子 1506a:閘極端子 1508:汲極端子 1508a:汲極端子 1510:共同位元線 1520:讀取啟用線 1520a:讀取啟用線 1522:寫入啟用線 1522a:寫入啟用線 1600:3D記憶體結構 1602:汲極選擇層 1604:源極選擇層 1606a至1606c:水平閘極電極層 1608a:第一垂直結構 1608b:第二垂直結構 1610a:介電柱 1610b:介電柱 1612a:通道柱 1612b:通道柱 1614a:鐵電柱 1614b:鐵電柱 1616a:端介電柱 1616b:端介電柱 1618a:端介電柱 1618b:端介電柱 1700:3D記憶體結構 1702:汲極選擇層 1704:源極選擇層 1706:水平閘極電極層 1706a:水平閘極電極層 1706b:水平閘極電極層 1706c:水平閘極電極層 1708a:第一垂直結構 1708b:第二垂直結構 1710a:介電柱 1712a:通道柱 1712b:通道柱 1714a:鐵電柱 1716a:端介電柱 1716b:端介電柱 1718a:傳遞閘極電極柱 1718b:傳遞閘極電極柱 1720a:薄介電水平層 1720b:薄介電水平層 1800:3D記憶體結構 1802a:垂直插塞柱 1804a:源極電極柱 1806:水平閘極電極層 1806a:水平閘極電極層 1806b:水平閘極電極層 1806c:水平閘極電極層 1808a:第一垂直結構 1808b:第二垂直結構 1810:氧化物/氮化物/氧化物(ONO)堆疊 1810a:ONO堆疊 1812a:通道柱 1814a:鐵電柱 1816a:汲極電極柱 1900:平面FeFET 1904:汲極接點 1906:氧化銦鎢(IWO) 1908:HZO 1910:基板 1912:TiN層 1914:源極接點 100: Integrated Circuit (IC) 102: Shared Write Port 104: Write Peripheral 106: Module Group 108: Module 110: Module 112: Module 114: Module 116: Read Peripheral 118: Read Peripheral 120: Read Peripheral 122: Read Peripheral 124: Read Port 126: Read Port 128: Read Port 130: Read Port 132: Interlock 200: Assembly 202: Write Peripheral 204: Silicon Substrate 206: Second Layer 208: Top Layer 212: Integrated circuit 218: Read address 220: Read data 222: Shared write port 226: Second device 228: Surface 230: Chiplet 232: First module 234: Second module 236: Module group 300: Block diagram 302: Module group 304: Memory module 306: Memory module 308: Memory module 310: Read data address space 312: Read data address space 314: Read data address space 316: Write address space 402: Module group 404: Module 406: Module 408: Module 410: Read peripherals 411: Write peripherals 412: Read peripherals 414: Read peripherals 500: Integrated circuit 502: Module 506: Silicon substrate 508: Second layer 510: Shared write peripherals 512: Write address bus 516: Write data bus 518: Surface 520: Dedicated read peripherals 522: Memory bit cells 524: Read address bus 526: Read data bus 600: Assembly 602: Silicon substrate 604: Network on a Chip (NOC) 606: Processing Component 608: Second Layer 610: System on a Chip (SOC) 700: Assembly 702: Input Dynamic Random Access Memory (DRAM) Memory 702a: DRAM Module 702b: DRAM Module 702c: DRAM Module 704: Output DRAM Memory 704a: DRAM Memory Module 704b: DRAM Memory Module 704c: DRAM Memory Module 706: Processing Component Array 706a,a through 706n,n: Processing Components 707: First Semiconductor Device 708: Microstorage Bank Array 708a,a through 708n,a: Microstorage 709: Second semiconductor device 710: Layer 710a through 710d: Layer 800: Assembly 802: Semiconductor device 804: Semiconductor device 806: Semiconductor device 808: Semiconductor device 810: Semiconductor device 812: Semiconductor device 814: Semiconductor device 816: Storage 816a,a through 816n,n: Storage 900: Assembly 902: Interconnect 906: Semiconductor device 908: Bumpless bonding 910: Bumpless bonding 912: Interconnect 914: Semiconductor device 916: Through-Silicon Via (TSV) 918: No Bump Bond 920: No Bump Bond 922: Read Data Register (RDR) 924: Read Address Register (RAR) Input Interconnect 926: Read Address Register (RAR) 928: Interconnect 930: No Bump Bond 932: No Bump Bond 936: Micro-storage Library 938: Read Address Register (RAR) 940: Interconnect 942: Read Data Register (RDR) 944: Through-Silicon Via (TSV) 946: Interconnect 950: Read Data Register (RDR) Output Interconnect 1000: Semiconductor Assembly 1004: Interconnect 1006: Semiconductor device 1008: Bumpless bond 1010: Bumpless bond 1012: Interconnect 1014: Semiconductor device 1016: Through-silicon via (TSV) 1018: Bumpless bond 1022: Read data register 1024: Read address register input interconnect 1026: Read address register 1028: Interconnect 1030: Bumpless bond 1032: Bumpless bond 1034: Interconnect 1036: Microstorage 1038: Read address register 1040: Interconnect 1042: Read Data Register 1044: TSV 1046: Interconnect 1048: Interconnect 1050: Read Data Register Output Interconnect 1056: Interconnect 1058: Microstorage Bank 1060: Multiplexer 1062: Counter 1100: Assembly 1102: Phase Counter 1104: Read Data Register 1106: Top Semiconductor Device 1108: Interconnect 1110: Phase Counter 1112: Read Data Register 1114: Multiplexer 1116: Middle Semiconductor Device 1118: Microstorage Bank 1120: Interconnect 1122: Bottom semiconductor device 1124: Read data register 1126: Read address register 1128: Bumpless bond 1129: Bumpless bond 1130: Interconnect 1132: Microstorage bank 1134: Interconnect 1136: TSVs 1138: Microstorage bank 1140: Interconnect 1142: Read address register 1144: Interconnect 1146: Read address register 1148: Interconnect 1150: Multiplexer 1152: TSVs 1154: Read data register 1156: Interconnect 1158: Bumpless Bond 1159: Bumpless Bond 1160: Bumpless Bond 1161: Bumpless Bond 1162: Bumpless Bond 1163: Bumpless Bond 1164: Microstorage 1166: Interconnect 1168: Interconnect 1170: Interconnect 1172: Interconnect 1174: Interconnect 1176: Interconnect 1200: Three-Dimensional (3D) Memory Bank 1202: Ferroelectric Field-Effect Transistor (FeFET) 1202a: FeFET 1202b: FeFET 1202c: FeFET 1202d: FeFET 1204: Drain terminal 1204a: Drain terminal 1206: Gate terminal 1208: Source terminal 1208a: Source terminal 1210: Common bit line 1212: Common select line 1214: Read/write enable line 1214a: Read/write enable line 1300: Three-dimensional (3D) memory row 1302a: FeFET 1302b: FeFET 1302c: FeFET 1302d: FeFET 1304: Source terminal 1306: Gate terminal 1308: Drain terminal 1310: Bit line 1314a: Read/write enable line 1400: 3D memory row 1402a: FeFET 1402b: FeFET 1402c: FeFET 1402d: FeFET 1404: Source terminal 1404a: Source 1406: Gate terminal 1406a: Gate 1408: Drain 1408a: Drain 1410: Bit line 1414: Read/write enable line 1416: Transfer gate line 1418: Transfer gate 1500: Three-dimensional (3D) memory row 1502: FeFET 1502a: FeFET 1502b: FeFET 1502c: FeFET 1502d: FeFET 1504: Source terminal 1504a: Source terminal 1506: Gate terminal 1506a: Gate terminal 1508: Drain terminal 1508a: Drain terminal 1510: Common bit line 1520: Read enable line 1520a: Read enable line 1522: Write enable line 1522a: Write enable line 1600: 3D memory structure 1602: Drain select layer 1604: Source select layer 1606a to 1606c: Horizontal gate electrode layer 1608a: First vertical structure 1608b: Second vertical structure 1610a: Dielectric pillar 1610b: Dielectric pillar 1612a: Channel pillar 1612b: Channel pillar 1614a: Ferroelectric pillar 1614b: Ferroelectric pillar 1616a: Terminal dielectric pillar 1616b: Terminal dielectric pillar 1618a: Terminal dielectric pillar 1618b: Terminal dielectric pillar 1700: 3D memory structure 1702: Drain select layer 1704: Source select layer 1706: Horizontal gate electrode layer 1706a: Horizontal gate electrode layer 1706b: Horizontal gate electrode layer 1706c: Horizontal gate electrode layer 1708a: First vertical structure 1708b: Second vertical structure 1710a: Dielectric pillar 1712a: Channel pillar 1712b: Channel pillar 1714a: Ferroelectric pillar 1716a: Terminal dielectric pillar 1716b: Terminal dielectric pillar 1718a: Transfer gate electrode pillar 1718b: Transfer gate electrode pillar 1720a: Thin dielectric horizontal layer 1720b: Thin dielectric horizontal layer 1800: 3D memory structure 1802a: Vertical plug pillar 1804a: Source electrode pillar 1806: Horizontal gate electrode layer 1806a: Horizontal gate electrode layer 1806b: Horizontal gate electrode layer 1806c: Horizontal gate electrode layer 1808a: First vertical structure 1808b: Second vertical structure 1810: Oxide/nitride/oxide (ONO) stack 1810a: ONO stack 1812a: Channel pillar 1814a: Ferroelectric pillar 1816a: Drain electrode pillar 1900: Planar FeFET 1904: Drain contact 1906: Indium tungsten oxide (IWO) 1908: HZO 1910: Substrate 1912: TiN layer 1914: Source contact

將參考圖式自本發明之各種實施例之以下詳細描述更加明白此等及其他態樣,其中:These and other aspects will become more apparent from the following detailed description of various embodiments of the present invention with reference to the accompanying drawings, in which:

圖1係根據本發明之一實施例之可為諸如一小晶片之一半導體裝置之部分之一積體電路之一方塊圖;FIG1 is a block diagram of an integrated circuit that may be part of a semiconductor device such as a small chip according to an embodiment of the present invention;

圖2展示根據本發明之一實施例之具有在一半導體裝置上實施之圖1之積體電路之一總成之一透視圖,半導體裝置電連接至另一裝置以形成總成;FIG2 shows a perspective view of an assembly having the integrated circuit of FIG1 implemented on a semiconductor device according to an embodiment of the present invention, the semiconductor device being electrically connected to another device to form the assembly;

圖3展示繪示根據本發明之一實施例之圖1之積體電路之記憶體位址空間之一方塊圖;FIG3 shows a block diagram illustrating the memory address space of the integrated circuit of FIG1 according to an embodiment of the present invention;

圖4展示繪示根據本發明之一實施例之具有圖1之積體電路之信號介面之記憶體位址空間之一方塊圖;FIG4 shows a block diagram illustrating a memory address space having a signal interface of the integrated circuit of FIG1 according to an embodiment of the present invention;

圖5展示根據本發明之一實施例之可為諸如一小晶片之一半導體裝置之部分之一積體電路之一圖示;FIG5 shows a diagram of an integrated circuit that may be part of a semiconductor device such as a small chip according to an embodiment of the present invention;

圖6展示根據本發明之一實施例之具有在電連接至一單晶片系統之一半導體裝置上實施之圖1之積體電路之一總成之一透視圖;FIG6 shows a perspective view of an assembly having the integrated circuit of FIG1 implemented on a semiconductor device electrically connected to a single-chip system according to an embodiment of the present invention;

圖7展示具有含一處理元件陣列之一半導體裝置及具有一微儲存庫陣列之一第二半導體裝置之一總成之一透視圖;FIG7 shows a perspective view of an assembly having a semiconductor device including a processing element array and a second semiconductor device having a micro-bank array;

圖8展示根據本發明之一實施例之包含若干記憶體類型之一半導體裝置之一總成;FIG8 shows an assembly of a semiconductor device including several memory types according to an embodiment of the present invention;

圖9展示根據本發明之一實施例之包含具有一單晶片系統之一半導體裝置及具有安置於頂部上之微儲存庫之另一半導體之半導體裝置之一總成;FIG9 shows an assembly of a semiconductor device including a semiconductor device having a single-chip system and another semiconductor having a micro-storage device disposed on top according to an embodiment of the present invention;

圖10展示根據本發明之一實施例之併入操作地連接至一多工器且由用於協調資料選擇及擷取之一計數器管理之微儲存庫之一菊鏈組態之一半導體總成。FIG10 shows a semiconductor assembly incorporating a daisy-chain configuration of micro-banks operatively connected to a multiplexer and managed by a counter for coordinating data selection and retrieval according to an embodiment of the present invention.

圖11展示根據本發明之一實施例之在多個半導體裝置中併入操作地連接至多工器且由用於協調資料選擇及擷取之計數器管理之微儲存庫之一菊鏈組態之一半導體總成;FIG11 shows a semiconductor assembly incorporating a daisy-chain configuration of a microstorage bank operatively connected to a multiplexer and managed by a counter for coordinating data selection and retrieval in a plurality of semiconductor devices according to an embodiment of the present invention;

圖12繪示根據本發明之一實施例之組態為一3D-NOR或3D-AND結構之三維(3D)記憶體行,其具有含鏈接至一共同選擇線之互連汲極端子及連接至各自讀取/寫入啟用線之個別閘極端子之一系列鐵電場效電晶體(FeFET),其等全部耦合至一共同位元線;FIG12 illustrates a three-dimensional (3D) memory row configured as a 3D-NOR or 3D-AND structure having a series of ferroelectric field effect transistors (FeFETs) with interconnected drain terminals linked to a common select line and individual gate terminals connected to respective read/write enable lines, all coupled to a common bit line, according to one embodiment of the present invention;

圖13描繪根據本發明之一實施例之組態為一3D-NAND結構之三維(3D)記憶體行,其由鐵電場效電晶體(FeFET)之一垂直堆疊組成;FIG13 depicts a three-dimensional (3D) memory row configured as a 3D-NAND structure consisting of a vertical stack of ferroelectric field effect transistors (FeFETs) according to one embodiment of the present invention;

圖14描繪根據本發明之一實施例之組態為具有一整合傳遞閘極之一3D-NAND之三維(3D)記憶體行;FIG14 depicts a three-dimensional (3D) memory row configured as a 3D-NAND with an integrated pass gate according to one embodiment of the present invention;

圖15繪示根據本發明之一實施例之三維(3D)記憶體行1500,其可組態為具有獨立讀取/寫入啟用能力之一3D-NOR或3D-AND結構;FIG15 illustrates a three-dimensional (3D) memory row 1500 configured as a 3D-NOR or 3D-AND structure with independent read/write enable capabilities according to an embodiment of the present invention;

圖16展示根據本發明之一實施例之組態為一單埠3D NAND之一3D記憶體結構之一橫截面圖;FIG16 shows a cross-sectional view of a 3D memory structure configured as a single-port 3D NAND according to an embodiment of the present invention;

圖17展示根據本發明之一實施例之作為一雙埠3D NAND配置之一3D記憶體結構之一橫截面圖;FIG17 shows a cross-sectional view of a 3D memory structure configured as a dual-port 3D NAND according to an embodiment of the present invention;

圖18繪示根據本發明之一實施例之可組態為一3D NOR垂直電晶體記憶體陣列之一3D記憶體結構;FIG18 illustrates a 3D memory structure configurable as a 3D NOR vertical transistor memory array according to an embodiment of the present invention;

圖19展示根據本發明之一實施例之一平面FeFET;及FIG19 shows a planar FeFET according to an embodiment of the present invention; and

圖20展示根據本發明之一實施例之一FeFET之一實施例之電特性。FIG20 shows the electrical characteristics of an embodiment of a FeFET according to an embodiment of the present invention.

700:總成 700: Assembly

702a:動態隨機存取記憶體(DRAM)模組 702a: Dynamic random access memory (DRAM) module

702b:DRAM模組 702b: DRAM module

702c:DRAM模組 702c: DRAM module

704a:DRAM記憶體模組 704a: DRAM memory module

704b:DRAM記憶體模組 704b: DRAM memory module

704c:DRAM記憶體模組 704c: DRAM memory module

706a,a至706n,n:處理元件 706a,a to 706n,n: Processing elements

707:第一半導體裝置 707: First semiconductor device

708a,a至708n,a:微儲存庫 708a,a to 708n,a: Microstorage

709:第二半導體裝置 709: Second semiconductor device

710a至710d:層 710a to 710d: Layers

Claims (20)

一種積體電路,其包括: 複數個微儲存庫,該複數個微儲存庫之各者相對於彼此依間隔關係安置且相鄰於一第一表面,該複數個微儲存庫包含一第一微儲存庫;及 複數個接合區域,該複數個接合區域之各者安置於該第一表面上且相鄰於該複數個微儲存庫之一各自者,該複數個接合區域包含與該第一微儲存庫操作地通信之一第一接合區域。 An integrated circuit includes: a plurality of micro-storage banks, each of the plurality of micro-storage banks disposed in a spaced relationship relative to one another and adjacent to a first surface, the plurality of micro-storage banks including a first micro-storage bank; and a plurality of bonding regions, each of the plurality of bonding regions disposed on the first surface and adjacent to a respective one of the plurality of micro-storage banks, the plurality of bonding regions including a first bonding region in operative communication with the first micro-storage bank. 如請求項1之積體電路,其中該第一接合區域包含各與該第一微儲存庫操作地通信之複數個接合。The integrated circuit of claim 1, wherein the first bonding region comprises a plurality of bondings each in operative communication with the first micro-storage bank. 如請求項2之積體電路,其中該複數個接合係無凸塊接合。The integrated circuit of claim 2, wherein the plurality of joints are bumpless joints. 如請求項1之積體電路,其進一步包括相鄰於該第一微儲存庫安置之一SRAM儲存庫。The integrated circuit of claim 1, further comprising an SRAM memory bank disposed adjacent to the first micro memory bank. 如請求項4之積體電路,其中該第一接合區域與該SRAM儲存庫操作地通信。The integrated circuit of claim 4, wherein the first bonding region is in operative communication with the SRAM memory bank. 如請求項4之積體電路,其進一步包括安置於該第一表面上且與該SRAM儲存庫操作地通信之一第二接合區域。The integrated circuit of claim 4, further comprising a second bonding region disposed on the first surface and in operative communication with the SRAM memory bank. 如請求項4之積體電路,其中該複數個微儲存庫形成於一第一晶粒上且該SRAM儲存庫形成於一第二晶粒上,其中該第一及第二晶粒接合在一起。The integrated circuit of claim 4, wherein the plurality of micro-banks are formed on a first die and the SRAM bank is formed on a second die, wherein the first and second dies are bonded together. 如請求項1之積體電路,其進一步包括操作地耦合至該第一接合區域之一讀取位址暫存器,該讀取位址暫存器經組態以保存一讀取位址且將其傳送至該第一微儲存庫。The integrated circuit of claim 1, further comprising a read address register operatively coupled to the first bonding region, the read address register being configured to store a read address and transmit it to the first micro-storage bank. 如請求項1之積體電路,其進一步包括操作地耦合至該第一微儲存庫、經組態以自該第一微儲存庫接收及保存讀取資料之一讀取資料暫存器。The integrated circuit of claim 1, further comprising a read data register operatively coupled to the first micro storage and configured to receive and store read data from the first micro storage. 如請求項9之積體電路,其中該讀取資料暫存器操作地耦合至該第一接合區域以將讀取資料傳送至該第一接合區域。The integrated circuit of claim 9, wherein the read data register is operatively coupled to the first bonding region to transfer read data to the first bonding region. 如請求項9之積體電路,其中該讀取資料暫存器操作地耦合至該複數個接合區域之一第二接合區域以將讀取資料傳送至該第二接合區域。The integrated circuit of claim 9, wherein the read data register is operatively coupled to a second bonding region of the plurality of bonding regions to transfer read data to the second bonding region. 如請求項1之積體電路,其進一步包括一第二讀取位址暫存器。The integrated circuit of claim 1 further comprising a second read address register. 如請求項12之積體電路,其進一步包括一第二表面上之一第二接合區域。The integrated circuit of claim 12, further comprising a second bonding area on a second surface. 如請求項13之積體電路,其中該第二讀取位址暫存器經組態以接收及保存該讀取位址且經由該第二表面將該讀取位址傳送至該讀取位址暫存器。The integrated circuit of claim 13, wherein the second read address register is configured to receive and store the read address and transmit the read address to the read address register via the second surface. 如請求項14之積體電路,其中該第二表面及該第一表面接合在一起。The integrated circuit of claim 14, wherein the second surface and the first surface are bonded together. 如請求項1之積體電路,其進一步包括與該第一接合區域操作地通信之一第二微儲存庫。The integrated circuit of claim 1, further comprising a second micro-storage bank in operative communication with the first bonding area. 如請求項16之積體電路,其進一步包括操作地耦合至該第一微儲存庫以自該第一微儲存庫接收一第一讀取資料之一多工器,該多工器操作地耦合至該第二微儲存庫以自該第二微儲存庫接收一第二讀取資料,其中該多工器經組態以在該第一讀取資料與該第二讀取資料之間選擇用於輸出。The integrated circuit of claim 16 further includes a multiplexer operatively coupled to the first micro-storage bank to receive a first read data from the first micro-storage bank, the multiplexer operatively coupled to the second micro-storage bank to receive a second read data from the second micro-storage bank, wherein the multiplexer is configured to select between the first read data and the second read data for output. 如請求項17之積體電路,其進一步包括與該多工器操作地通信且經組態以串列讀出該第一讀取資料及該第二讀取資料之一計數器。The integrated circuit of claim 17, further comprising a counter in operative communication with the multiplexer and configured to serially read out the first read data and the second read data. 如請求項17之積體電路,其進一步包括經組態以接收該第一讀取資料或該第二讀取資料用於保存於其中之一讀取資料暫存器。The integrated circuit of claim 17, further comprising a read data register configured to receive the first read data or the second read data for storage therein. 如請求項19之積體電路,其進一步包括該第一表面上之一第二接合區域,其中該讀取資料暫存器耦合至該第二接合區域以將該保存第一讀取資料或第二讀取資料自該多工器傳送至該第二接合區域。The integrated circuit of claim 19 further comprises a second bonding region on the first surface, wherein the read data register is coupled to the second bonding region to transfer the stored first read data or the second read data from the multiplexer to the second bonding region.
TW113129768A 2023-08-11 2024-08-08 Integrated circuit having microvault memories TW202526570A (en)

Applications Claiming Priority (14)

Application Number Priority Date Filing Date Title
US202363518988P 2023-08-11 2023-08-11
US63/518,988 2023-08-11
US202363602737P 2023-11-27 2023-11-27
US202363602733P 2023-11-27 2023-11-27
US63/602,737 2023-11-27
US63/602,733 2023-11-27
US202463567649P 2024-03-20 2024-03-20
US63/567,649 2024-03-20
US202463637764P 2024-04-23 2024-04-23
US202463637742P 2024-04-23 2024-04-23
US63/637,764 2024-04-23
US63/637,742 2024-04-23
US202463674471P 2024-07-23 2024-07-23
US63/674,471 2024-07-23

Publications (1)

Publication Number Publication Date
TW202526570A true TW202526570A (en) 2025-07-01

Family

ID=92538715

Family Applications (7)

Application Number Title Priority Date Filing Date
TW113129768A TW202526570A (en) 2023-08-11 2024-08-08 Integrated circuit having microvault memories
TW113129726A TW202533421A (en) 2023-08-11 2024-08-08 System, method, and apparatus for wafer-scale memory
TW113129713A TW202526960A (en) 2023-08-11 2024-08-08 Method and system for known-good-die testability of face-to-face bonded chiplets
TW113129743A TW202527683A (en) 2023-08-11 2024-08-08 Assembly having a face-to-face bonded chiplet
TW113129693A TW202531863A (en) 2023-08-11 2024-08-08 Integrated circuit having memories and a shared write port
TW113129719A TW202527723A (en) 2023-08-11 2024-08-08 System and method for having correct-by-construction timing closure for face-to-face bonding
TW113129781A TW202523076A (en) 2023-08-11 2024-08-08 Fefet structures on integrated circuits

Family Applications After (6)

Application Number Title Priority Date Filing Date
TW113129726A TW202533421A (en) 2023-08-11 2024-08-08 System, method, and apparatus for wafer-scale memory
TW113129713A TW202526960A (en) 2023-08-11 2024-08-08 Method and system for known-good-die testability of face-to-face bonded chiplets
TW113129743A TW202527683A (en) 2023-08-11 2024-08-08 Assembly having a face-to-face bonded chiplet
TW113129693A TW202531863A (en) 2023-08-11 2024-08-08 Integrated circuit having memories and a shared write port
TW113129719A TW202527723A (en) 2023-08-11 2024-08-08 System and method for having correct-by-construction timing closure for face-to-face bonding
TW113129781A TW202523076A (en) 2023-08-11 2024-08-08 Fefet structures on integrated circuits

Country Status (2)

Country Link
TW (7) TW202526570A (en)
WO (7) WO2025038372A1 (en)

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008076790A2 (en) * 2006-12-14 2008-06-26 Rambus Inc. Multi-die memory device
US7978721B2 (en) * 2008-07-02 2011-07-12 Micron Technology Inc. Multi-serial interface stacked-die memory architecture
JP2011081732A (en) * 2009-10-09 2011-04-21 Elpida Memory Inc Semiconductor device, adjusting method for the same, and data processing system
US8547774B2 (en) * 2010-01-29 2013-10-01 Mosys, Inc. Hierarchical multi-bank multi-port memory organization
JP2012208975A (en) * 2011-03-29 2012-10-25 Renesas Electronics Corp Semiconductor device
KR101466013B1 (en) * 2012-08-13 2014-11-27 한국표준과학연구원 Amorphous oxide semiconductor layer and thin film transistor having the same
US10289604B2 (en) * 2014-08-07 2019-05-14 Wisconsin Alumni Research Foundation Memory processing core architecture
CN106250321B (en) * 2016-07-28 2019-03-01 盛科网络(苏州)有限公司 The data processing method and data processing system of 2R1W memory
US11119910B2 (en) * 2016-09-27 2021-09-14 Spin Memory, Inc. Heuristics for selecting subsegments for entry in and entry out operations in an error cache system with coarse and fine grain segments
US10586786B2 (en) * 2016-10-07 2020-03-10 Xcelsis Corporation 3D chip sharing clock interconnect layer
WO2018125118A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Back-end ferroelectric field-effect transistor devices
WO2018236353A1 (en) * 2017-06-20 2018-12-27 Intel Corporation INTEGRATED NONVOLATILE MEMORY BASED ON FERROELECTRIC FIELD EFFECT TRANSISTORS
US11289509B2 (en) * 2017-09-29 2022-03-29 Intel Corporation Double-gated ferroelectric field-effect transistor
US11056183B2 (en) * 2018-04-24 2021-07-06 Arm Limited Multi-port memory circuitry
US11043472B1 (en) * 2019-05-31 2021-06-22 Kepler Compute Inc. 3D integrated ultra high-bandwidth memory
TW202122993A (en) * 2019-08-13 2021-06-16 埃利亞德 希勒爾 Memory-based processors
US11687472B2 (en) * 2020-08-20 2023-06-27 Global Unichip Corporation Interface for semiconductor device and interfacing method thereof
US20220352379A1 (en) * 2021-04-29 2022-11-03 Taiwan Semiconductor Manufacturing Company Limited Ferroelectric memory devices having improved ferroelectric properties and methods of making the same

Also Published As

Publication number Publication date
TW202533421A (en) 2025-08-16
TW202527723A (en) 2025-07-01
WO2025038365A1 (en) 2025-02-20
WO2025038366A1 (en) 2025-02-20
WO2025038369A1 (en) 2025-02-20
TW202523076A (en) 2025-06-01
TW202526960A (en) 2025-07-01
WO2025038372A1 (en) 2025-02-20
WO2025038368A1 (en) 2025-02-20
TW202531863A (en) 2025-08-01
WO2025038367A1 (en) 2025-02-20
WO2025042587A1 (en) 2025-02-27
TW202527683A (en) 2025-07-01

Similar Documents

Publication Publication Date Title
US11552056B2 (en) Three-dimensional memory device with three-dimensional phase-change memory
Mikolajick et al. The past, the present, and the future of ferroelectric memories
US12219780B2 (en) High-density memory device with planar thin film transistor (TFT) selector and methods for making the same
KR101119280B1 (en) Semiconductor constructions, electronic systems, and methods of forming cross-point memory arrays
KR100474530B1 (en) Second-layer phase change memory array on top of a logic device
JP6215653B2 (en) Semiconductor memory device
US20170271411A1 (en) Semiconductor Constructions, Electronic Systems, and Methods of Forming Cross-Point Memory Arrays
CN101677108A (en) Non-volatile memory device
CN107112049A (en) Three-dimensional integrated circuits using thin film transistors
KR20130132374A (en) Non-volatile memory having 3d array of read/write elements with efficient decoding of vertical bit lines and word lines
CN103247655A (en) Variable resistive memory device and method of fabricating and driving the same
KR102119306B1 (en) Resistive switching device and phase change memory device using the same
US20130083048A1 (en) Integrated circuit with active memory and passive variable resistive memory with shared memory control logic and method of making same
Das et al. Beyond cmos
US12389602B2 (en) 3D semiconductor device and structure with logic and memory
Lam Storage class memory
US11296115B1 (en) 3D semiconductor device and structure
US20230146353A1 (en) 3d semiconductor device and structure with logic and memory
TW202526570A (en) Integrated circuit having microvault memories
CN115497532A (en) Memory structure and method of manufacturing and controlling the same
US12120880B1 (en) 3D semiconductor device and structure with logic and memory
CN118475217A (en) Ferroelectric tunnel junction device with vertical full-surrounding shell-core structure and three-dimensional array circuit structure thereof
US20240179915A1 (en) 3d semiconductor device and structure with logic and memory
US20240334701A1 (en) 3d semiconductor device and structure with logic and memory
US20240090225A1 (en) 3d semiconductor device and structure with logic and memory