TW202436660A - A robust icefill method to provide void free trench fill for logic and memory applications - Google Patents
A robust icefill method to provide void free trench fill for logic and memory applications Download PDFInfo
- Publication number
- TW202436660A TW202436660A TW112142814A TW112142814A TW202436660A TW 202436660 A TW202436660 A TW 202436660A TW 112142814 A TW112142814 A TW 112142814A TW 112142814 A TW112142814 A TW 112142814A TW 202436660 A TW202436660 A TW 202436660A
- Authority
- TW
- Taiwan
- Prior art keywords
- cycles
- subset
- plasma
- substrate
- stations
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/308—Oxynitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
- C23C16/325—Silicon carbide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45534—Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/4554—Plasma being used non-continuously in between ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/0214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/32—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Formation Of Insulating Films (AREA)
Abstract
Description
本揭示內容係關於填充間隙的方法及系統。The present disclosure relates to methods and systems for filling gaps.
許多半導體裝置製造製程涉及膜之形成,膜包括例如矽氧化物或矽氮化物的含矽膜。可使用電漿增強原子層沉積(PEALD)沉積含矽膜。當在間隙中沉積膜時,沉積高品質膜可能特別具挑戰性。挑戰可包括膜中孔隙及/或接縫的形成。Many semiconductor device manufacturing processes involve the formation of films, including silicon-containing films such as silicon oxide or silicon nitride. Silicon-containing films can be deposited using plasma enhanced atomic layer deposition (PEALD). Depositing high-quality films can be particularly challenging when depositing films in gaps. Challenges can include the formation of pores and/or seams in the film.
本文所提供的先前技術說明內容係為了大體上呈現本揭示內容之脈絡。在此先前技術章節中所敘述之範圍內的本案列名之發明人的成果、以及在申請時可能不適格作為先前技術之說明書的實施態樣皆非有意地或暗示地被承認為對抗本揭示內容之先前技術。The prior art descriptions provided herein are intended to generally present the context of the present disclosure. The achievements of the inventors named in the present case within the scope described in this prior art section, as well as the embodiments of the description that may not be qualified as prior art at the time of application, are not intended or implied to be recognized as prior art against the present disclosure.
本文揭示填充結構中間隙的方法及系統。在本文實施例的一實施態樣中,提供用於填充間隙的方法,方法包括:在製程腔室中提供基板,基板具有一或更多結構,每一結構包括間隙;以及執行第一組循環:(a)將基板曝露至抑制電漿以抑制每一間隙之第一部分上的沉積,以及在(a)之後的(b),於每一間隙中沉積介電材料,其中,於第一組循環的第一子集與第二子集期間曝露基板至抑制電漿發生達第一持續時間,且於第一組循環的第二子集期間修改曝露基板至抑制電漿的至少一非持續時間為基參數。Methods and systems for filling gaps in structures are disclosed herein. In one embodiment of the present invention, a method for filling gaps is provided, the method comprising: providing a substrate in a process chamber, the substrate having one or more structures, each structure including gaps; and performing a first set of cycles: (a) exposing the substrate to a suppression plasma to suppress deposition on a first portion of each gap, and (b) depositing a dielectric material in each gap after (a), wherein exposing the substrate to the suppression plasma occurs for a first duration during a first subset and a second subset of the first set of cycles, and modifying at least one non-duration of exposing the substrate to the suppression plasma as a base parameter during the second subset of the first set of cycles.
在某些實施例中, 非持續時間為基參數係抑制物種的流率、稀釋氣體的流率、壓力、或射頻(RF)功率。在某些實施例中,第一組循環的第一子集相較於第一組循環的第二子集抑制間隙中之沉積至間隙之較大深度。在某些實施例中,將基板曝露至抑制電漿包括將抑制物種流動至製程腔室中,且其中於第一組循環的第二子集期間的抑制物種之流量相較於第一組循環的第一子集係較低的。在某些實施例中,第一組循環的第一子集與第一組循環的第二子集之間抑制物種的流量差係介於約1 sccm與約5 sccm之間。在某些實施例中,將基板曝露至抑制電漿包括將抑制物種與惰性氣體共流至製程腔室中,且其中於第一組循環的第二子集期間的抑制物種與惰性氣體之比例相較於第一組循環的第一子集係較高的。在某些實施例中,抑制物種包括含氮物種。在某些實施例中,將基板曝露至抑制電漿包括提供射頻(RF)能量至製程腔室,且其中於第一組循環的第二子集期間的RF功率相較於第一組循環的第一子集係較低的。在某些實施例中,第一組循環的第一子集與第一組循環的第二子集之間的RF功率差係介於約50W與約700W之間。在某些實施例中,每基板的RF功率係介於約250W與約1250W之間。在某些實施例中,一或更多結構中的每一者具有一或更多凹入特徵部。在某些實施例中,凹入特徵部的至少其中之一在基板內具有至少10%的臨界尺寸變異。在某些實施例中,基於一或更多結構的至少一凹入特徵部的臨界尺寸變異修改至少一非持續時間為基參數。在某些實施例中,凹入特徵部的至少其中之一在結構之間具有至少10%的深度變異。在某些實施例中,基於一或更多結構的至少一凹入特徵部的深度變異修改至少一非持續時間為基參數。在某些實施例中,介電材料係氧化物材料。在某些實施例中,氧化物材料為二氧化矽。在某些實施例中,進一步包括執行第二組循環:將基板曝露至抑制電漿以抑制間隙之第二部分上的沉積,其中,於第二組循環的第一子集與第二子集期間曝露基板至抑制電漿發生達不同於第一持續時間的第二持續時間,且於第二組循環的第二子集期間修改抑制電漿的至少一非持續時間為基參數;以及於(a)之後,在間隙中沉積介電材料。In some embodiments, the non-continuous time-based parameter is a flow rate of the inhibitory species, a flow rate of a dilution gas, a pressure, or a radio frequency (RF) power. In some embodiments, a first subset of the first set of cycles deposits a greater depth of the inhibitory gap in the gap than a second subset of the first set of cycles. In some embodiments, exposing the substrate to the inhibitory plasma includes flowing the inhibitory species into the process chamber, and wherein the flow rate of the inhibitory species during the second subset of the first set of cycles is lower than the first subset of the first set of cycles. In some embodiments, the difference in the flow rate of the inhibitory species between the first subset of the first set of cycles and the second subset of the first set of cycles is between about 1 seem and about 5 seem. In some embodiments, exposing the substrate to the suppression plasma comprises co-flowing a suppression species with an inert gas into a process chamber, and wherein the ratio of the suppression species to the inert gas during a second subset of a first set of cycles is higher than the first subset of the first set of cycles. In some embodiments, the suppression species comprises a nitrogen-containing species. In some embodiments, exposing the substrate to the suppression plasma comprises providing radio frequency (RF) energy to the process chamber, and wherein the RF power during the second subset of the first set of cycles is lower than the first subset of the first set of cycles. In some embodiments, the difference in RF power between the first subset of the first set of cycles and the second subset of the first set of cycles is between about 50 W and about 700 W. In some embodiments, the RF power per substrate is between about 250 W and about 1250 W. In some embodiments, each of the one or more structures has one or more recessed features. In some embodiments, at least one of the recessed features has a critical size variation of at least 10% within the substrate. In some embodiments, at least one non-continuous time is modified as a base parameter based on the critical size variation of at least one recessed feature of the one or more structures. In some embodiments, at least one of the recessed features has a depth variation of at least 10% between structures. In some embodiments, at least one non-continuous time is modified as a base parameter based on the depth variation of at least one recessed feature of the one or more structures. In some embodiments, the dielectric material is an oxide material. In some embodiments, the oxide material is silicon dioxide. In some embodiments, further comprising performing a second set of cycles: exposing the substrate to a suppression plasma to suppress deposition on a second portion of the gap, wherein exposing the substrate to the suppression plasma during the first subset and the second subset of the second set of cycles occurs for a second duration different from the first duration, and modifying at least one non-duration of suppressing the plasma during the second subset of the second set of cycles as a base parameter; and after (a), depositing a dielectric material in the gap.
在本文實施例的另一實施態樣中,提供填充間隙之系統,系統包括:製程腔室,以及一或更多記憶體與一或更多處理器,一或更多記憶體係配置以具有用以控制一或更多處理器以用於下列的電腦可執行指令:在製程腔室中提供基板,基板具有一或更多結構,每一結構包括間隙;以及執行第一組循環:(a)將基板曝露至抑制電漿以抑制每一間隙之第一部分上的沉積,以及在(a)之後的(b),於每一間隙中沉積介電材料,其中,於第一組循環的第一子集與第二子集期間曝露基板至抑制電漿發生達第一持續時間,並且於第一組循環的第二子集期間修改曝露基板至抑制電漿的至少一非持續時間為基參數。In another embodiment of the present invention, a system for filling gaps is provided, the system comprising: a process chamber, and one or more memories and one or more processors, the one or more memories being configured to have computer executable instructions for controlling the one or more processors for: providing a substrate in the process chamber, the substrate having one or more structures, each structure including a gap; and performing a first set of cycles: (a) exposing the substrate to a suppression plasma to suppress deposition on a first portion of each gap, and (b) after (a), depositing a dielectric material in each gap, wherein exposing the substrate to the suppression plasma occurs for a first duration during a first subset and a second subset of the first set of cycles, and modifying at least one non-duration of the exposure of the substrate to the suppression plasma as a base parameter during the second subset of the first set of cycles.
本文揭示於工具之站點處填充結構中間隙的方法及系統,工具於站點之間具有不同的填充速率。在本文實施例的另一實施態樣中,提供系統,系統包括:包括複數站點的製程腔室;配置以用於下列的一或更多處理器與一或更多記憶體:在複數站點中接收複數基板,每一基板具有具間隙之結構,在站點的每一者中執行第一組循環:(a)將基板曝露至抑制電漿以抑制間隙之第一部分上的沉積,以及在(a)之後的(b),於間隙中沉積介電材料,以及在執行第一組循環後,於複數站點之第一子集的每一站點中執行第二組循環:(c)僅在複數站點之第一子集之站點中基板的間隙內沉積介電材料。Disclosed herein are methods and systems for filling gaps in structures at stations of a tool, wherein the tool has different fill rates between stations. In another embodiment of the embodiments herein, a system is provided, the system comprising: a process chamber comprising a plurality of stations; one or more processors and one or more memories configured for: receiving a plurality of substrates in the plurality of stations, each substrate having a structure with a gap, performing a first set of cycles in each of the stations: (a) exposing the substrate to a suppression plasma to suppress deposition on a first portion of the gap, and (b) after (a), depositing a dielectric material in the gap, and after performing the first set of cycles, performing a second set of cycles in each station of a first subset of the plurality of stations: (c) depositing a dielectric material in the gap of the substrate only in stations of the first subset of the plurality of stations.
在某些實施例中,於第一組循環之後,複數站點之第一子集之站點中的第一基板相較於複數基板中的第二基板具有介電材料之填充的較低深度。在某些實施例中,第二基板係在非複數站點之第一子集之部分的站點中。在某些實施例中,於第二組循環之後,第一基板具有與第二基板實質上相等的介電材料之填充深度。在某些實施例中,一或更多處理器與一或更多記憶體係進一步配置以用於在(c)之前將基板曝露至抑制電漿以抑制間隙之第一部分上的沉積。在某些實施例中,一或更多處理器與一或更多記憶體係進一步配置以在非於複數站點之第一子集中的一或更多站點中不執行(c)。在某些實施例中,一或更多處理器與一或更多記憶體係進一步配置以於第二組循環期間流動一或更多反應物至一或更多站點中的每一者。在某些實施例中, 於第二組循環期間,一或更多反應物不與不在複數站點之第一子集中的站點中之基板反應。在某些實施例中,一或更多處理器與一或更多記憶體係進一步配置以於第二組循環期間提供射頻(RF)功率至複數站點的第一子集,並且於第二組循環期間不提供RF功率至不在複數站點之第一子集中的站點。在某些實施例中,一或更多處理器與一或更多記憶體係進一步配置以於第二組循環期間流動一或更多反應物至複數站點中的每一者。在某些實施例中,一或更多處理器與一或更多記憶體係進一步配置以於第二組循環期間流動一或更多反應物至複數站點的第一子集,並且於第二組循環期間不流動一或更多反應物至不在複數站點之第一子集中的站點。在某些實施例中,進一步包括第二製程腔室,且其中複數站點的第一子集係製程腔室的一部分,且不在複數站點之第一子集中的站點係第二製程腔室的一部分。In some embodiments, after the first set of cycles, a first substrate in a site of a first subset of the plurality of sites has a lower depth of fill of dielectric material than a second substrate in the plurality of substrates. In some embodiments, the second substrate is in a site that is not part of the first subset of the plurality of sites. In some embodiments, after the second set of cycles, the first substrate has a substantially equal depth of fill of dielectric material as the second substrate. In some embodiments, the one or more processors and the one or more memories are further configured to expose the substrate to an inhibiting plasma prior to (c) to inhibit deposition on the first portion of the gap. In some embodiments, the one or more processors and the one or more memories are further configured to not perform (c) in one or more sites that are not in the first subset of the plurality of sites. In some embodiments, the one or more processors and the one or more memories are further configured to flow one or more reactants to each of the one or more sites during the second set of cycles. In some embodiments, during the second set of cycles, the one or more reactants do not react with substrates in sites that are not in the first subset of the plurality of sites. In some embodiments, the one or more processors and the one or more memories are further configured to provide radio frequency (RF) power to the first subset of the plurality of sites during the second set of cycles, and not provide RF power to sites that are not in the first subset of the plurality of sites during the second set of cycles. In some embodiments, the one or more processors and the one or more memories are further configured to flow one or more reactants to each of the plurality of sites during the second set of cycles. In some embodiments, the one or more processors and the one or more memories are further configured to flow one or more reactants to a first subset of the plurality of stations during the second set of cycles and not flow one or more reactants to stations not in the first subset of the plurality of stations during the second set of cycles. In some embodiments, a second process chamber is further included, and wherein the first subset of the plurality of stations is a portion of the process chamber, and the stations not in the first subset of the plurality of stations are a portion of the second process chamber.
在本文實施例的另一實施態樣中,提供方法,方法包括:在複數站點中接收複數基板,每一基板具有具間隙之結構,在站點的每一者中執行第一組循環:(a)將基板曝露至抑制電漿以抑制間隙之第一部分上的沉積,以及在(a)之後的(b),於間隙中沉積介電材料,以及在執行第一組循環後,於複數站點之第一子集的每一站點中執行第二組循環:(c)僅在複數站點之第一子集之站點中的基板之間隙中沉積介電材料。In another embodiment of the present invention, a method is provided, the method comprising: receiving a plurality of substrates in a plurality of stations, each substrate having a structure with a gap, performing a first set of cycles in each of the stations: (a) exposing the substrate to an inhibiting plasma to inhibit deposition on a first portion of the gap, and (b) after (a), depositing a dielectric material in the gap, and after performing the first set of cycles, performing a second set of cycles in each station of a first subset of the plurality of stations: (c) depositing dielectric material in the gap of the substrate only in the stations of the first subset of the plurality of stations.
在某些實施例中,於第一組循環之後,複數站點之第一子集之站點中的第一基板相較於複數基板中的第二基板具有介電材料之填充的較低深度。在某些實施例中,第二基板係在非複數站點之第一子集之部分的站點中。在某些實施例中,於第二組循環之後,第一基板具有與第二基板實質上相等的介電材料之填充深度。在某些實施例中,進一步包括在(c)之前將基板曝露至抑制電漿以抑制間隙之第一部分上的沉積。在某些實施例中,進一步包括於不在複數站點之第一子集中的一或更多站點中不執行(c)。在某些實施例中,進一步包括於第二組循環期間流動一或更多反應物至一或更多站點中的每一者。在某些實施例中, 於第二組循環期間,一或更多反應物不與不在複數站點之第一子集中的站點中之基板反應。在某些實施例中,進一步包括於第二組循環期間提供射頻(RF)功率至複數站點的第一子集,並且於第二組循環期間不提供RF功率至不在複數站點之第一子集中的站點。在某些實施例中,進一步包括於第二組循環期間流動一或更多反應物至複數站點中的每一者。在某些實施例中,進一步包括於第二組循環期間流動一或更多反應物至複數站點的第一子集,並且於第二組循環期間不流動一或更多反應物至不在複數站點之第一子集中的站點。在某些實施例中,進一步包括第二製程腔室,且其中複數站點的第一子集係製程腔室的一部分,且不在複數站點之第一子集中的站點係第二製程腔室的一部分。In some embodiments, after the first set of cycles, a first substrate in a site of a first subset of the plurality of sites has a lower depth of fill of dielectric material than a second substrate in the plurality of substrates. In some embodiments, the second substrate is in a site that is not part of the first subset of the plurality of sites. In some embodiments, after the second set of cycles, the first substrate has a substantially equal depth of fill of dielectric material as the second substrate. In some embodiments, further including exposing the substrate to an inhibiting plasma to inhibit deposition on the first portion of the gap prior to (c). In some embodiments, further including not performing (c) in one or more sites that are not in the first subset of the plurality of sites. In some embodiments, further including flowing one or more reactants to each of the one or more sites during the second set of cycles. In some embodiments, during the second set of cycles, the one or more reactants do not react with substrates in sites that are not in the first subset of the plurality of sites. In some embodiments, further comprising providing radio frequency (RF) power to the first subset of the plurality of sites during the second set of cycles, and not providing RF power to sites that are not in the first subset of the plurality of sites during the second set of cycles. In some embodiments, further comprising flowing the one or more reactants to each of the plurality of sites during the second set of cycles. In some embodiments, further comprising flowing the one or more reactants to the first subset of the plurality of sites during the second set of cycles, and not flowing the one or more reactants to sites that are not in the first subset of the plurality of sites during the second set of cycles. In some embodiments, a second processing chamber is further included, and wherein the first subset of the plurality of stations is part of the processing chamber, and stations not in the first subset of the plurality of stations are part of the second processing chamber.
以下將參照相關聯的圖式詳細描述所揭示實施例的此些及其他特徵。These and other features of the disclosed embodiments are described in detail below with reference to the associated drawings.
在以下說明內容中,提出眾多具體細節以提供對於所呈現實施例的透徹理解。可在不具有某些或全部的此些具體細節的情況下實現所揭示實施例。在其他方面,為了不對所揭示實施例不必要地造成混淆而沒有詳細描述眾所周知的製程操作。儘管將結合具體實施方式來描述所揭示的實施例,將理解其並非旨在限制所揭示的實施例。In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be implemented without some or all of these specific details. In other respects, well-known process operations are not described in detail in order not to unnecessarily obscure the disclosed embodiments. Although the disclosed embodiments will be described in conjunction with specific implementations, it will be understood that they are not intended to limit the disclosed embodiments.
半導體製造製程通常包括使用化學氣相沉積(CVD)及/或原子層沉積(ALD)法來填充特徵部的介電質間隙填充。本文中描述使用介電材料填充特徵部的方法、以及相關的系統和設備,介電材料包括但不限於氧化物膜以及例如矽氧化物的含矽膜。本文所述的方法可用於填充形成在基板中的垂直定向之特徵部。可將如此特徵部稱為間隙、內凹特徵部、負型特徵部、未填充特徵部,或簡稱為特徵部。填充如此的特徵部可被稱為間隙填充。可藉由一或更多的狹窄及/或凹入(re-entrant)開口、特徵部內收縮、以及高深寬比來表徵形成於基板中的特徵部。在某些實施方式中,特徵部可具有至少約 2:1、至少約 4:1、至少約 6:1、至少約 20:1、至少約 100:1或更高的深寬比。基板可為矽晶圓,例如200-mm 晶圓、300-mm 晶圓、或450-mm 晶圓,其包括具有一或更多材料層沉積於上方的晶圓,材料例如為介電、導體、或半導體材料。Semiconductor manufacturing processes typically include dielectric gapfill using chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) methods to fill features. Methods for filling features using dielectric materials, including but not limited to oxide films and silicon-containing films such as silicon oxide, and related systems and equipment are described herein. The methods described herein can be used to fill vertically oriented features formed in a substrate. Such features may be referred to as gaps, re-entrant features, negative features, unfilled features, or simply features. Filling such features may be referred to as gapfill. Features formed in a substrate may be characterized by one or more narrow and/or re-entrant openings, feature internal shrinkage, and high aspect ratios. In some embodiments, the features can have an aspect ratio of at least about 2:1, at least about 4:1, at least about 6:1, at least about 20:1, at least about 100:1, or more. The substrate can be a silicon wafer, such as a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including a wafer having one or more layers of material deposited thereon, such as a dielectric, conductive, or semiconductor material.
本揭示內容的一實施態樣係關於間隙中介電材料之原子層沉積(ALD)期間使用抑制電漿以利於無孔隙之底部間隙填充的方法。抑制電漿產生鈍化的表面並提高所沉積之ALD膜的成核阻障。當抑制電漿與特徵部中的材料交互作用時,由於幾何遮蔽效應,於特徵部之底部的材料與位於較靠近特徵部之頂部或在場區中的材料相比乃接受較少的電漿處理。因此,於特徵部之頂部處的沉積被選擇性地抑制,而在特徵部之下部中的沉積以較少抑制或不被抑制的方式進行。因此,在ALD製程中實行由底向上填充,而產生減輕接縫效應並防止孔隙形成的較有利傾斜輪廓。含鹵素的電漿可為有效的抑制電漿。例如,對於某些應用而言,相較於產自分子氮(N 2)的電漿,產自三氟化氮(NF 3)的電漿可在實質上較少的時間內提供抑制效果。 One embodiment of the present disclosure relates to a method of using a suppressed plasma to facilitate void-free bottom gap fill during atomic layer deposition (ALD) of a dielectric material in a gap. The suppressed plasma produces a passivated surface and increases the nucleation barrier of the deposited ALD film. When the suppressed plasma interacts with the material in the feature, the material at the bottom of the feature receives less plasma treatment than the material located closer to the top of the feature or in the field due to geometric shielding effects. Therefore, deposition at the top of the feature is selectively suppressed, while deposition in the lower portion of the feature proceeds in a less suppressed or unsuppressed manner. Therefore, a bottom-up fill is performed in the ALD process, resulting in a more favorable sloped profile that reduces seam effects and prevents void formation. Halogen-containing plasmas can be effective suppression plasmas. For example, for some applications, plasmas produced from nitrogen trifluoride (NF 3 ) can provide suppression effects in substantially less time than plasmas produced from molecular nitrogen (N 2 ).
圖1係繪示以介電材料填充間隙之方法的製程流程圖。方法始於提供具有一或更多待填充間隙的結構(101)。可藉由沉積於基板上的一或更多材料層形成結構。基板可為矽或其他半導體晶圓,例如200-mm 晶圓、300-mm 晶圓、或450-mm 晶圓,其包括具有一或更多材料層沉積於上方的晶圓,材料例如為介電、導體、或半導體材料。亦可將所述方法應用於間隙填充其他基板,例如玻璃、塑膠等等,包括應用在微機電(MEMS)裝置的製造中。FIG. 1 is a process flow diagram illustrating a method for filling a gap with a dielectric material. The method begins by providing a structure having one or more gaps to be filled (101). The structure may be formed by one or more material layers deposited on a substrate. The substrate may be a silicon or other semiconductor wafer, such as a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, which includes a wafer having one or more material layers deposited thereon, such as a dielectric, conductive, or semiconductor material. The method may also be applied to gap filling other substrates, such as glass, plastic, etc., including applications in the manufacture of microelectromechanical (MEMS) devices.
結構的範例包括3D NAND結構、DRAM結構、及淺溝渠隔離(STI)結構。結構包括間隙,而間隙具有藉由易受蝕刻材料形成的間隙之側壁。在一範例中,3D NAND結構包括以多晶矽層覆蓋的氧化物-氮化物-氧化物-氮化物(ONON)堆疊。在另一範例中,結構可包括從共通垂直溝槽水平延伸的橫向/隧道結構。側壁材料的其他範例包括氧化物、金屬、及半導體材料。本文所述的方法並不限於特定種類的側壁材料並可用於抑制任何敏感材料。Examples of structures include 3D NAND structures, DRAM structures, and shallow trench isolation (STI) structures. The structure includes a gap, and the gap has sidewalls of the gap formed by an etch-susceptible material. In one example, the 3D NAND structure includes an oxide-nitride-oxide-nitride (ONON) stack covered with a polysilicon layer. In another example, the structure may include a lateral/tunnel structure extending horizontally from a common vertical trench. Other examples of sidewall materials include oxides, metals, and semiconductor materials. The methods described herein are not limited to a particular type of sidewall material and can be used to inhibit any sensitive material.
使用抑制電漿於間隙中沉積介電材料(105)。如以下進一步討論的,此步驟可涉及抑制電漿的循環而後接介電材料之ALD的循環。可藉由抑制有效深度(IED)來表徵抑制電漿處理。IED描述一深度,在其上方沉積將受抑制。藉由變化抑制電漿處理的參數,包括時間、壓力、物種、及流率,可增加或減少IED。可藉由多參數影響IED,包括所使用的特定物種、抑制電漿處理的持續時間、電漿功率、抑制物種(而非像是惰性氣體的載氣,例如氦或氬)之氣流的比例、及壓力。特別是,抑制所用的持續時間可對於IED有很大的影響。例如,產自三氟化氮(NF 3)的電漿可在幾秒內抑制微米級深度間隙,而可藉由曝露至抑制電漿達少至0.1秒而抑制較小的深度。針對高深寬比和深結構,例如至少約100:1及3 µm或更深的結構,NF 3係用以充分抑制結構以避免夾止、同時亦不會完全抑制結構的抑制用物種之理想選擇。亦可使用其他物種,包括例如NF 3的含鹵素物種與例如N 2的非含鹵素物種。 A dielectric material is deposited in the gap using a suppressed plasma (105). As discussed further below, this step may involve cycles of a suppressed plasma followed by cycles of ALD of the dielectric material. The suppressed plasma process may be characterized by an inhibition effective depth (IED). The IED describes a depth above which deposition will be inhibited. The IED may be increased or decreased by varying parameters of the suppressed plasma process, including time, pressure, species, and flow rate. The IED may be affected by multiple parameters, including the specific species used, the duration of the suppressed plasma process, the plasma power, the ratio of gas flow of the suppressing species (rather than a carrier gas such as an inert gas, such as helium or argon), and the pressure. In particular, the duration of suppression may have a significant effect on the IED. For example, a plasma produced from nitrogen trifluoride (NF 3 ) can inhibit micron-scale deep gaps in a few seconds, while smaller depths can be inhibited by exposure to the inhibiting plasma for as little as 0.1 second. For high aspect ratio and deep structures, such as at least about 100:1 and 3 µm or deeper, NF 3 is an ideal inhibitory species to inhibit the structure sufficiently to avoid pinching, while not completely inhibiting the structure. Other species can also be used, including halogenated species such as NF 3 and non-halogenated species such as N 2 .
於由底向上填充製程的設計期間,可計畫其中抑制電漿處理可在抑制區塊之間變更的多個抑制區塊。例如,可調變抑制電漿處理使得IED較接近特徵部之底部,例如,特徵部之總深度的75%受到抑制。然後可執行ALD製程的循環以填充特徵部之底部25%。然後可調整抑制電漿參數以抑制例如特徵部之頂部50%(相對於總深度,不管有無任何填充),而後填充特徵部的下一個底部部分。During the design of a bottom-up fill process, multiple suppression zones may be planned where the suppression plasma treatment may vary between suppression zones. For example, the suppression plasma treatment may be adjusted so that the IED is closer to the bottom of the feature, e.g., 75% of the total depth of the feature is suppressed. A cycle of the ALD process may then be performed to fill the bottom 25% of the feature. The suppression plasma parameters may then be adjusted to suppress, e.g., the top 50% of the feature (relative to the total depth, regardless of any fill), and then fill the next bottom portion of the feature.
在某些實施例中,IED可能被待填充特徵部的幾何影響。例如,凹入(reentrancy)特徵部影響特徵部中抑制物種的質量傳輸。可藉由間隙內一深度處側壁之間距離的窄化來表徵凹入特徵部,其中可沿著與基板之頂面或底面平行的軸測量該距離,且其中在凹入特徵部上方或下方的側壁距離係較大的。凹入特徵部呈現出沉積期間的挑戰,因為間隙內的狹窄部分增加在較小間隙下方孔隙形成的風險。在沉積完全填充凹入特徵部下方之前填充凹入特徵部處之間隙的情況下發生「夾止」,從而產生內部孔隙。In certain embodiments, the IED may be affected by the geometry of the feature to be filled. For example, a reentrancy feature affects the mass transport of inhibiting species in the feature. A reentrancy feature may be characterized by a narrowing of the distance between sidewalls at a depth within the gap, where the distance may be measured along an axis parallel to the top or bottom surface of the substrate, and where the distance between the sidewalls is greater above or below the reentrancy feature. Reentrancy features present challenges during deposition because the narrow portion within the gap increases the risk of void formation beneath the smaller gap. "Pinching" occurs when the gap at a reentrancy feature is filled before the deposition completely fills below the reentrancy feature, resulting in internal voids.
減少夾止及內部孔隙的一方法為抑制於凹入特徵部處及上方的沉積,直到凹入特徵部下方已發生充分沉積為止。可調變電漿抑制處理以於凹入特徵部處進行抑制,並可執行抑制直到已充分填充間隙。一旦已充分填充間隙,便可在產生內部孔隙之風險降低的情況下於凹入特徵部處及/或上方發生沉積。然後可調整抑制電漿以減少IED使得沉積發生於凹入特徵部處及/或上方。值得注意的是,於凹入特徵部處的沉積之前不需要於凹入特徵部下方完全填充間隙,而只要充分填充以降低夾止與孔隙的風險即可。因為沉積係於尚未達凹入特徵部下方的凹入特徵部處受抑制,故可充分填充凹入特徵部下方的間隙。One method of reducing pinching and internal porosity is to inhibit deposition at and over a recessed feature until sufficient deposition has occurred beneath the recessed feature. Plasma inhibition can be adjusted to inhibit at recessed features, and inhibition can be performed until the gap has been sufficiently filled. Once the gap has been sufficiently filled, deposition can occur at and/or over the recessed feature with a reduced risk of creating internal porosity. The inhibiting plasma can then be adjusted to reduce IED so that deposition occurs at and/or over the recessed feature. It is noteworthy that the gap does not need to be completely filled beneath the recessed feature prior to deposition at the recessed feature, but only sufficiently filled to reduce the risk of pinching and porosity. Because deposition is suppressed at the recessed features that have not yet reached below the recessed features, the gaps below the recessed features can be fully filled.
儘管凹入特徵部之該深度處的IED可減少或排除夾止,但在某些實施例中,凹入特徵部及/或整體間隙可能具有晶圓內(WW)及/或晶圓間(W2W)變異。在某些實施例中,此變異可能造成相應的IED之WW或W2W變異。此為不欲發生的,並且當IED由於以上提及的原因而大於或小於凹入特徵部之該深度時,便可能增加夾止的風險。在某些實施例中,凹入特徵部的深度可能變異至少約5%、至少約10%、至少約15%、或介於約10%與約20%之間。IED之變異可能起因於凹入特徵部對IED的影響。例如,間隙各處及凹入特徵部處臨界尺寸的變異影響特徵部內的質量傳輸,而改變抑制電漿影響表面的深度,即IED。再者,凹入特徵部的深度可能變異而使得單一IED無法於所有被填充之間隙的凹入特徵部的深度處進行抑制。此情況可能係不欲發生的,因為可能在離凹入特徵部上方或下方太遠處抑制間隙,而造成於凹入特徵部處的夾止且因而導致孔隙。Although the IED at the depth of the recessed feature may reduce or eliminate pinching, in some embodiments, the recessed feature and/or the overall gap may have within-wafer (WW) and/or between-wafer (W2W) variation. In some embodiments, this variation may cause WW or W2W variation in the corresponding IED. This is undesirable and may increase the risk of pinching when the IED is greater or less than the depth of the recessed feature for the reasons mentioned above. In some embodiments, the depth of the recessed feature may vary by at least about 5%, at least about 10%, at least about 15%, or between about 10% and about 20%. The variation in the IED may be due to the effect of the recessed feature on the IED. For example, variations in critical dimensions throughout gaps and at recessed features affect mass transport within the feature, changing the depth at which the inhibited plasma impacts the surface, i.e., the IED. Furthermore, the depth of the recessed feature may vary such that a single IED may not inhibit at the recessed feature depth for all gaps to be filled. This may be undesirable because the gap may be inhibited too far above or below the recessed feature, causing pinching at the recessed feature and thus voids.
為了應對此變異,在某些實施例中,可修改抑制電漿處理以於目標深度處的一定範圍內抑制。例如,可將多個抑制電漿處理調變為具有於78%、75%、及72%深度處而非僅75%的IED。可藉由執行多個抑制電漿處理而實現此舉。通常,針對例如75%的特定目標IED執行多個抑制電漿處理,因為在充分填充間隙之前抑制效果可能減弱。一旦已充分填充間隙,便可修改抑制電漿俾以不同的IED為目標,例如50%。然而,在某些實施例中,可修改抑制電漿以跨包括目標IED的範圍抑制。在某些實施例中,可執行十次或更多的具有相同參數的抑制電漿處理俾以單一IED為目標,例如,相同的持續時間、電漿功率、氣體流量等,而反之可修改抑制電漿處理以在包括單一IED的範圍內抑制。To account for this variation, in certain embodiments, the suppressing plasma treatment may be modified to suppress within a range at the target depth. For example, multiple suppressing plasma treatments may be tuned to have IEDs at 78%, 75%, and 72% depth instead of just 75%. This may be accomplished by performing multiple suppressing plasma treatments. Typically, multiple suppressing plasma treatments are performed for a specific target IED, such as 75%, because the suppressing effect may diminish before the gap is sufficiently filled. Once the gap has been sufficiently filled, the suppressing plasma may be modified to target a different IED, such as 50%. However, in certain embodiments, the suppressing plasma may be modified to suppress across a range that includes the target IED. In certain embodiments, ten or more suppression plasma treatments may be performed with the same parameters to target a single IED, e.g., same duration, plasma power, gas flow, etc., and vice versa, the suppression plasma treatment may be modified to suppress a range including a single IED.
因而,在某些實施例中,可調變抑制電漿處理使得抑制電漿處理抑制略大於及/或小於凹入特徵部的平均深度。當凹入特徵部的深度變異至少約5%、至少約10%、至少約15%、或介於約10%與約20%之間時,或者當凹入特徵部的臨界尺寸變異至少約5%、至少約10%、至少約15%、或介於約10%與約20%之間時,此調變可為有利的。在某些實施例中,可改變抑制電漿處理之間的非持續時間為基參數。非持續時間為基參數可為除了分配予例如抑制電漿處理之操作的時間(即,其持續時間)以外的任何參數。持續時間可對於抑制電漿處理之IED有最大的影響,使得改變持續時間可顯著地增加或減少IED,而此為不欲發生的。所需的IED變更可少於約3%、少於約5%、少於約10%、或少於約20%,使得改變持續時間可能改變IED超出所需。在某些實施例中,可修改抑制電漿處理的最不敏感參數。在某些實施例中,抑制電漿處理的電漿功率可為最不敏感參數。例如,相較於造成IED超過4.5%變更的持續時間之10%變更,HF功率的10%增加可改變IED小3%。Thus, in certain embodiments, the suppression plasma treatment may be modulated such that the suppression plasma treatment suppresses slightly more and/or less than the average depth of the recessed features. Such modulation may be advantageous when the depth of the recessed features varies by at least about 5%, at least about 10%, at least about 15%, or between about 10% and about 20%, or when the critical size of the recessed features varies by at least about 5%, at least about 10%, at least about 15%, or between about 10% and about 20%. In certain embodiments, the non-duration time between suppression plasma treatments may be varied as a base parameter. The non-duration time as a base parameter may be any parameter other than the time allocated to, for example, the operation of the suppression plasma treatment (i.e., its duration). Duration may have the greatest impact on the IED of a suppressed plasma process, such that changing the duration may significantly increase or decrease the IED, which is undesirable. The desired IED change may be less than about 3%, less than about 5%, less than about 10%, or less than about 20%, such that changing the duration may change the IED more than desired. In certain embodiments, the least sensitive parameter of a suppressed plasma process may be modified. In certain embodiments, the plasma power of a suppressed plasma process may be the least sensitive parameter. For example, a 10% increase in HF power may change the IED by 3% less than a 10% change in duration that causes an IED change of more than 4.5%.
圖2A至2D繪示其中間隙具有變異凹入特徵部的間隙填充。圖2A至2B呈現繪示當存在凹入特徵部中變異而使用單一IED下之抑制電漿處理時可能發生狀況的結構。在圖2A中呈現於本文所述間隙填充方法之諸多階段期間的示例性結構200a。於201,將結構200a顯示為具有待以介電材料填充的間隙206。在圖2A的範例中,於可包括介電、導體、或半導體材料的結構之間形成間隙206。在某些實施例中,結構200係低深寬比結構。在某些實施例中,低深寬比結構可為具有介於約3:1與約7:1間之深寬比的結構。在某些實施例中,低深寬比結構可具有至少約1 µm的深度。在某些實施例中,結構200係高深寬比結構。在某些實施例中,提供保形層(未顯示),保形層可為在使用抑制電漿沉積之前沉積的襯墊。保形層可保護下方層於後接的抑制電漿處理期間免受不需要的蝕刻。在某些實施例中,保形層為矽氮化物層。在某些實施例中,保形層為矽氧化物層。在某些實施例中,保形層為金屬氧化物層,例如,氧化鈦、氧化鋯、氧化錫、氧化鉿、或以上之組合。在某些實施例中,保形層為矽層,例如多晶矽。在某些實施例中,不存在保形層。Figures 2A-2D illustrate gap filling where the gap has a variable recessed feature. Figures 2A-2B present structures that illustrate what may occur when there is variation in the recessed feature using a suppressed plasma process under a single IED. In Figure 2A, an exemplary structure 200a is presented during various stages of a gap filling method described herein. At 201, structure 200a is shown as having a gap 206 to be filled with a dielectric material. In the example of Figure 2A, gap 206 is formed between structures that may include dielectric, conductive, or semiconductor materials. In some embodiments, structure 200 is a low aspect ratio structure. In some embodiments, a low aspect ratio structure may be a structure having an aspect ratio between about 3:1 and about 7:1. In some embodiments, the low aspect ratio structure may have a depth of at least about 1 µm. In some embodiments, structure 200 is a high aspect ratio structure. In some embodiments, a conformal layer (not shown) is provided, which may be a liner deposited prior to deposition using an inhibiting plasma. The conformal layer may protect underlying layers from unwanted etching during a subsequent inhibiting plasma treatment. In some embodiments, the conformal layer is a silicon nitride layer. In some embodiments, the conformal layer is a silicon oxide layer. In some embodiments, the conformal layer is a metal oxide layer, such as titanium oxide, zirconium oxide, tin oxide, barium oxide, or a combination thereof. In some embodiments, the conformal layer is a silicon layer, such as polysilicon. In some embodiments, there is no conformal layer.
在圖2A中,可藉由凹入特徵部208a至208b表徵間隙206。如同垂直線條所指示的,凹入特徵部208a小於凹入特徵部208b,其中所述尺寸可基於凹入特徵部之最窄處臨界尺寸與最寬部分處臨界尺寸之間的差。2A, gap 206 may be represented by concave features 208a-208b. As indicated by the vertical lines, concave feature 208a is smaller than concave feature 208b, where the size may be based on the difference between the critical dimension at the narrowest portion of the concave feature and the critical dimension at the widest portion.
在某些實施例中,凹入特徵部之深度、於間隙中任意點的臨界尺寸、以及凹入特徵部處臨界尺寸與凹入特徵部上方/下方臨界尺寸之間的差可能有變異。這些變異中的每一者可能影響IED及/或特徵部內質量傳輸因而影響沉積。In some embodiments, there may be variations in the depth of the recessed feature, the critical dimension at any point in the gap, and the difference between the critical dimension at the recessed feature and the critical dimension above/below the recessed feature. Each of these variations may affect the IED and/or mass transport within the feature and thus affect deposition.
於201,亦可藉由抑制有效深度(IED)線204a-1至204a-2來表徵結構200a。IED線204a-1至204a-2繪示電漿抑制處理導致的抑制深度。值得留意的是,於凹入特徵部208a處的IED線204a-1低於凹入特徵部208a,而於凹入特徵部208b處的IED線204a-2 高於凹入特徵部208b。儘管每一間隙係曝露至相同的抑制電漿,此IED差異仍可能產生。IED的差異係由凹入特徵部208a與208b之間的尺寸差異所導致。由於凹入特徵部208b大於凹入特徵部208a,具有凹入特徵部208b之間隙內的抑制物種之質量流量較小,而造成IED的對應減少。At 201, structure 200a may also be characterized by inhibition effective depth (IED) lines 204a-1 to 204a-2. IED lines 204a-1 to 204a-2 represent the inhibition depth resulting from the plasma inhibition process. It is noteworthy that IED line 204a-1 at recessed feature 208a is lower than recessed feature 208a, while IED line 204a-2 at recessed feature 208b is higher than recessed feature 208b. This IED difference may occur despite each gap being exposed to the same inhibiting plasma. The difference in IED is caused by the size difference between recessed features 208a and 208b. Since the concave feature 208b is larger than the concave feature 208a, the mass flow of the inhibiting species in the gap having the concave feature 208b is smaller, resulting in a corresponding reduction in the IED.
於203,以由底向上方式使用介電材料210a填充間隙206。於203,具有凹入特徵部208b的間隙具有孔隙202。此孔隙起因於太淺的IED線204a-2,即,不充足的抑制。因為抑制效果不夠深,發生於凹入特徵部處的沉積,而造成導致孔隙202的夾止效應。At 203, gap 206 is filled with dielectric material 210a in a bottom-up manner. At 203, the gap with recessed feature 208b has a void 202. This void is caused by too shallow IED line 204a-2, i.e., insufficient suppression. Because the suppression effect is not deep enough, deposition occurs at the recessed feature, causing a pinching effect that leads to void 202.
相似地,圖2B繪示孔隙可能如何起因於凹入特徵部之變異而發生的另一範例。於205,結構200b具有具凹入特徵部208c與208d的間隙206。凹入特徵部208d係在間隙內較凹入特徵部208c更大深度之處。於抑制電漿處理之後,兩間隙之IED線204b皆相同,然而在特徵部中抑制較深於(即,於較大深度處)凹入特徵部208c。於207,以由底向上方式使用介電材料210b填充間隙206,使得填充線上方的側壁上有相對較少沉積或者沒有沉積。然而,孔隙202形成在介電材料210b中。儘管對於凹入特徵部208d而言IED係正確深度,較凹入特徵部208c為深的IED仍可導致此孔隙。Similarly, FIG. 2B illustrates another example of how voids may occur due to variations in recessed features. At 205, structure 200b has gap 206 with recessed features 208c and 208d. Recessed feature 208d is at a greater depth within the gap than recessed feature 208c. After the suppression plasma treatment, the IED lines 204b of both gaps are identical, however, the features are suppressed deeper than (i.e., at a greater depth) recessed feature 208c. At 207, gap 206 is filled with dielectric material 210b in a bottom-up manner such that there is relatively little or no deposition on the sidewalls above the fill line. However, void 202 is formed in dielectric material 210b. Even though the IED is the correct depth for recessed feature 208d, an IED deeper than recessed feature 208c may still result in this void.
圖2C至2D呈現繪示改善均勻性並減少凹入結構中變異所導致之孔隙之方法的結構。2C-2D present structures illustrating methods for improving uniformity and reducing voids caused by variations in recessed structures.
圖2C繪示具有間隙206及凹入特徵部208a至208b的結構200c。結構200c可為與結構200a相同的結構。於211,結構200c具有兩組IED線。IED線204a-1與204a-2可與圖2A中所示的相同。額外地,顯示IED線204c-1至204c-2且IED線204c-1至204c-2可由不同的抑制電漿處理所導致。IED線204c-1與204c-2基於凹入特徵部208a與208b之間的差異而具有不同的深度。IED線204c-1與204c-2在間隙206中係略為較深的。因而,IED線204c-1可為針對凹入特徵部208a之抑制的適當深度,而IED線204c-2可為針對凹入特徵部208b之抑制的適當深度。FIG. 2C shows a structure 200c having a gap 206 and recessed features 208a-208b. Structure 200c may be the same structure as structure 200a. At 211, structure 200c has two sets of IED lines. IED lines 204a-1 and 204a-2 may be the same as shown in FIG. 2A. Additionally, IED lines 204c-1 to 204c-2 are shown and may result from different suppressed plasma treatments. IED lines 204c-1 and 204c-2 have different depths based on the difference between recessed features 208a and 208b. IED lines 204c-1 and 204c-2 are slightly deeper in gap 206. Thus, IED line 204c-1 may be of an appropriate depth for suppression of recessed feature 208a, and IED line 204c-2 may be of an appropriate depth for suppression of recessed feature 208b.
在某些實施例中,間隙內臨界尺寸之變異以及凹入特徵部尺寸之變異可為至少約5%、至少約10%、至少約15%、或介於約10%與約20%之間。在某些實施例中,臨界尺寸或凹入特徵部尺寸之變異相較於凹入特徵部深度之變異可對於IED具有較大影響。例如,凹入特徵部深度之10%變異可影響所需IED 10%,而臨界尺寸或凹入特徵部尺寸之10%變異可影響所需IED超過15%。因而,在諸多實施例中,可基於臨界尺寸、凹入特徵部尺寸、及凹入特徵部深度之變異而變化抑制電漿處理之參數俾以IED線204a-1、204a-2、204c-1、及204c-2為目標。In some embodiments, the variation in the critical dimension within the gap and the variation in the recessed feature dimension may be at least about 5%, at least about 10%, at least about 15%, or between about 10% and about 20%. In some embodiments, the variation in the critical dimension or recessed feature dimension may have a greater impact on the IED than the variation in the recessed feature depth. For example, a 10% variation in the recessed feature depth may affect the required IED by 10%, while a 10% variation in the critical dimension or recessed feature dimension may affect the required IED by more than 15%. Thus, in various embodiments, parameters of the suppression plasma process may be varied to target the IED lines 204a-1, 204a-2, 204c-1, and 204c-2 based on variations in critical size, recessed feature size, and recessed feature depth.
於213,以由底向上方式使用介電材料210c填充間隙206。特別的是,介電材料210c不具有孔隙。此結果起因於使用導致IED線204c-1與204c-2之抑制電漿處理填充間隙、後接導致IED線204a-1與204a-2之抑制電漿處理的額外間隙填充。值得注意的是,儘管IED線204a-1至204a-2以及IED線204c-1至204c-2兩者皆顯示於211,應理解它們對應於不同的抑制電漿處理(考量到這些線顯示上方側壁受抑制之深度,故IED線204c-1可能已暗示於線204a-1處的抑制)。可使用導致IED線204c-1與204c-2的抑制電漿處理初始地執行間隙填充。然後可將抑制電漿處理修改為導致IED線204a-1與204a-2並持續間隙填充直到凹入特徵部208a與208b上方間隙被填充為止。At 213, gap 206 is filled in a bottom-up manner using dielectric material 210c. In particular, dielectric material 210c has no pores. This result results from filling the gap using a suppressed plasma treatment resulting in IED lines 204c-1 and 204c-2, followed by an additional gap fill resulting in a suppressed plasma treatment resulting in IED lines 204a-1 and 204a-2. It is noted that although both IED lines 204a-1 to 204a-2 and IED lines 204c-1 to 204c-2 are shown at 211, it should be understood that they correspond to different suppressed plasma treatments (given that these lines show the depth of suppression of the upper sidewall, IED line 204c-1 may have suggested suppression at line 204a-1). Gap filling may be initially performed using a suppressed plasma process resulting in IED lines 204c-1 and 204c-2. The suppressed plasma process may then be modified to result in IED lines 204a-1 and 204a-2 and gap filling may be continued until gaps above recessed features 208a and 208b are filled.
圖2D繪示具有間隙206及凹入特徵部208c至208d的結構200d,其相似於圖2B。於215,結構200d具有兩組IED線。IED線204b可與圖2B中所示的相同,而可由不同的抑制電漿處理導致IED線204d。值得注意的是,當IED線204b係在凹入特徵部208d之正確深度處時,IED線204d係在凹入特徵部208c之正確深度處。FIG2D shows a structure 200d having a gap 206 and recessed features 208c-208d, similar to FIG2B. At 215, structure 200d has two sets of IED lines. IED line 204b may be the same as that shown in FIG2B, but may result from a different suppressed plasma treatment to IED line 204d. It is noteworthy that when IED line 204b is at the correct depth of recessed feature 208d, IED line 204d is at the correct depth of recessed feature 208c.
於217,以由底向上方式使用介電材料210d填充間隙206。介電材料210d不具有孔隙。此結果起因於使用導致IED線204b之抑制電漿處理填充間隙、後接導致IED線204d之抑制電漿處理的額外間隙填充。值得注意的是,儘管IED線204b與204d兩者皆顯示於215,應理解它們對應於不同的抑制電漿處理。可使用導致IED線204b的抑制電漿處理初始地執行間隙填充。然後可將抑制電漿處理修改為導致IED線204d並持續間隙填充直到凹入特徵部208c與208d上方間隙被填充為止。At 217, gap 206 is filled in a bottom-up manner using dielectric material 210d. Dielectric material 210d does not have pores. This result results from filling the gap using a suppressed plasma treatment that results in IED line 204b, followed by additional gap filling using a suppressed plasma treatment that results in IED line 204d. It is worth noting that although both IED lines 204b and 204d are shown at 215, it should be understood that they correspond to different suppressed plasma treatments. The gap filling can be initially performed using the suppressed plasma treatment that results in IED line 204b. The suppressed plasma treatment can then be modified to result in IED line 204d and gap filling continued until the gaps above the recessed features 208c and 208d are filled.
圖3顯示可依據所揭示實施例使用之製程序列的範例。圖3中的製程序列包括使用抑制電漿處理基板。在某些實施例中可省略其他操作(例如,浸泡(soak)、鈍化)並且在某些實施例中可添加操作。在圖3之示例性的製程序列中,一或更多晶圓進行間隙填充。製程可始於提供至沉積腔室之後的浸泡(302)。此舉可有利於例如移除顆粒或其他預處理。然後,執行襯墊之ALD沉積的 n1循環(304)。以下討論襯墊ALD的進一步細節。 FIG3 shows an example of a process sequence that may be used in accordance with the disclosed embodiments. The process sequence of FIG3 includes treating a substrate using a suppressed plasma. Other operations (e.g., soak, passivation) may be omitted in certain embodiments and operations may be added in certain embodiments. In the exemplary process sequence of FIG3, one or more wafers undergo gap filling. The process may begin with a soak (302) after provision to a deposition chamber. This may be beneficial, for example, for particle removal or other pre-processing. Then, an n1 cycle of ALD deposition of a liner is performed (304). Further details of liner ALD are discussed below.
在顯示第一抑制區塊( n=1)之操作下,於沉積可選的襯墊之後執行 n次抑制區塊。第一操作係為表面處理的抑制電漿(308)。如以上所討論的,電漿可包括含陰離子與自由基物種的鹵素物種,例如F -、Cl -、I -、Br -、氟自由基等。可使用其他抑制電漿。在某些實施例中,抑制電漿產自不含鹵素物種,包括含氮物種以及含氮不含鹵素物種。例如,可使用產自分子氮(N 2)、分子氫(H 2)、氨(NH 3)、胺、二醇、二胺、胺醇、硫醇、鹵烷、鹵化物、HF、含氟物種、含氯物種、含碘物種、或以上之組合的電漿作為抑制電漿。在某些實施例中,於本文所述的高壓下執行抑制電漿處理。 In the operation showing the first suppression block ( n =1), n suppression blocks are performed after depositing the optional liner. The first operation is a suppression plasma (308) for surface treatment. As discussed above, the plasma may include halogen species containing anion and radical species, such as F- , Cl- , I- , Br- , fluorine radicals, etc. Other suppression plasmas may be used. In some embodiments, the suppression plasma is generated from non-halogenated species, including nitrogen-containing species and nitrogen-containing non-halogenated species. For example, a plasma generated from molecular nitrogen ( N2 ), molecular hydrogen ( H2 ), ammonia ( NH3 ), amines, diols, diamines, amine alcohols, thiols, halides, halides, HF, fluorine-containing species, chlorine-containing species, iodine-containing species, or a combination thereof can be used as the suppressed plasma. In certain embodiments, the suppressed plasma treatment is performed at high pressure as described herein.
當抑制電漿與特徵部中的材料交互作用時,由於幾何遮蔽效應,於特徵部之底部的材料與位於較靠近特徵部之頂部或在場區中的材料相比乃接受較少的電漿處理。因此,於特徵部之頂部處的沉積被選擇性地抑制,而在特徵部之下部中的沉積以較少抑制或不被抑制的方式進行。在圖3中,抑制區塊中的下一操作為ALD填充的 n2循環(310)。於特徵部的底部處選擇性地沉積介電材料。抑制電漿與ALD填充的 n2循環共同構成生長循環。可重複此循環 n3次以在當抑制效果減弱時以間歇性抑制操作持續填充特徵部。在抑制區塊中生長循環的次數可取決於特徵部之凹入,即,是否在從特徵部之底部至頂部的一或更多點處窄化。展現較多凹入的特徵部可使用較長的抑制時間或多個抑制區塊。在某些實施例中,ALD填充的 n2循環可包含至少一次ALD循環、至少約10次ALD循環、或介於1次與約15次ALD循環之間。抑制電漿與ALD填充的 n2循環共同構成生長循環。可重複此循環 n3次以在當抑制效果減弱時以間歇性抑制操作持續填充特徵部。 When the plasma is inhibited from interacting with the material in the feature, due to geometric shielding effects, the material at the bottom of the feature receives less plasma treatment than the material located closer to the top of the feature or in the field area. Therefore, deposition at the top of the feature is selectively inhibited, while deposition in the lower portion of the feature proceeds with less inhibition or no inhibition. In Figure 3, the next operation in the inhibition block is an n2 cycle of ALD fill (310). Dielectric material is selectively deposited at the bottom of the feature. The plasma inhibition and n2 cycles of ALD fill together constitute a growth cycle. This cycle can be repeated n3 times to continue filling the feature with intermittent inhibition operations as the inhibition effect weakens. The number of growth cycles in the inhibition block may depend on the concavity of the feature, i.e., whether it narrows at one or more points from the bottom to the top of the feature. Features that exhibit more concavity may use longer inhibition times or multiple inhibition blocks. In certain embodiments, the n2 cycles of ALD fill may include at least one ALD cycle, at least about 10 ALD cycles, or between 1 and about 15 ALD cycles. The plasma is suppressed and the n2 cycles of ALD fill together constitute a growth cycle. This cycle may be repeated n3 times to continue filling the feature with intermittent suppression operations as the suppression effect weakens.
在某些實施例中,可在生長循環之間改變抑制電漿的非持續時間為基參數(311)。例如,可改變電漿功率或抑制劑物種流量。在某些實施例中,可改變非持續時間為基參數以降低抑制電漿處理的IED。在某些實施例中,抑制電漿處理的持續時間係受調整以改變IED的主要參數,因為IED對於曝露至抑制電漿的持續時間係高度敏感的。然而,相較於凹入特徵部之變異改變IED,改變持續時間可改變IED更多,使得由於抑制過度或抑制不足而仍可能發生孔隙。藉由改變IED對其較不敏感的參數,例如電漿功率,可相似地稍微降低IED以恰當地抑制凹入特徵部之間的變異。在某些實施例中,可改變抑制電漿的最不敏感參數,其中最不敏感參數可選自包括抑制物種流率、稀釋氣體流率(例如,N 2或Ar)、及電漿功率的群組。 In some embodiments, the non-duration of the suppressed plasma may be varied as a base parameter (311) between growth cycles. For example, the plasma power or the inhibitor species flow rate may be varied. In some embodiments, the non-duration may be varied as a base parameter to reduce the IED of the suppressed plasma process. In some embodiments, the duration of the suppressed plasma process is the primary parameter adjusted to vary the IED because the IED is highly sensitive to the duration of exposure to the suppressed plasma. However, varying the duration may vary the IED more than variations in the recessed features may vary the IED, so that porosity may still occur due to over- or under-suppression. By changing a parameter to which the IED is less sensitive, such as plasma power, the IED can similarly be slightly reduced to appropriately suppress variations between recessed features. In certain embodiments, the least sensitive parameter for suppressing the plasma can be changed, where the least sensitive parameter can be selected from the group consisting of suppression species flow rate, dilution gas flow rate (e.g., N2 or Ar), and plasma power.
因而,在某些實施例中,於第一生長循環中,抑制電漿可抑制至第一IED,並執行ALD填充的一或更多循環。於後接的第二生長循環期間,修改抑制電漿的非持續時間為基參數以降低IED(值得注意的是,於第一生長循環與第二生長循環期間,抑制電漿的持續時間可為相同的)。可執行ALD填充的額外循環。可取決於凹入特徵部的變異而執行此修改多次,例如,可針對凹入特徵部臨界尺寸的較大變異而執行單一抑制區塊內對抑制電漿的更多修改。Thus, in certain embodiments, during a first growth cycle, the plasma may be suppressed to a first IED, and one or more cycles of ALD fill may be performed. During a subsequent second growth cycle, the non-duration of suppressing the plasma is modified as a base parameter to reduce the IED (notably, the duration of suppressing the plasma may be the same during the first growth cycle and the second growth cycle). Additional cycles of ALD fill may be performed. This modification may be performed multiple times depending on the variation of the recessed feature, for example, more modifications to suppressing the plasma within a single suppression block may be performed for larger variations in the critical size of the recessed feature.
在圖3的範例中,抑制區塊在可選的鈍化操作下結束(312)。此為移除殘留抑制劑並且亦可緻密化所沉積之膜的表面處理。在某些實施例中,使用氧電漿。In the example of Figure 3, the inhibition block ends with an optional passivation operation (312). This is a surface treatment that removes residual inhibitor and may also densify the deposited film. In some embodiments, an oxygen plasma is used.
可執行包括生長循環及鈍化的一或更多額外抑制區塊達總數為 n的抑制區塊(314)。抑制區塊的數量取決於使用多少材料填充特徵部。在用以填充特徵部的抑制區塊與抑制區塊之間可改變抑制電漿、ALD、及鈍化條件。例如,抑制電漿持續時間可為20秒,直到填充特徵部的底部四分之一(抑制區塊1),然後針對結構的中間50%改變為5秒(抑制區塊2)等等。每一抑制區塊可具有不同的IED,其中針對抑制區塊之抑制電漿處理的製程參數被改變俾以不同的IED為目標。每一抑制區塊可填充該抑制區塊之IED下方特徵部的一部分。 One or more additional inhibition blocks including growth cycles and passivation may be performed for a total of n inhibition blocks (314). The number of inhibition blocks depends on how much material is used to fill the feature. The inhibition plasma, ALD, and passivation conditions may be varied between inhibition blocks used to fill the feature and inhibition blocks. For example, the inhibition plasma duration may be 20 seconds until the bottom quarter of the feature is filled (inhibition block 1), then changed to 5 seconds for the middle 50% of the structure (inhibition block 2), and so on. Each inhibition block may have a different IED, where the process parameters of the inhibition plasma treatment for the inhibition block are varied to target the different IEDs. Each inhibition block may fill a portion of the feature below the IED of that inhibition block.
在某些實施例中,於(311)中的非持續時間為基參數之變更可改變IED少於約3%、少於約5%、少於約10%、或少於約20%。在某些實施例中,於(311)中的非持續時間為基參數之變更可改變IED少於約100 nm、少於約200 nm、少於約300 nm、少於約400 nm、或少於約700 nm。這可對比於其中可修改抑制電漿以改變IED超過約10%或超過約20%的抑制區塊之間的抑制電漿變更。例如,在某些實施例中,間隙填充製程可具有四抑制區塊,其中可設定抑制區塊之間抑制電漿的參數以於8 um、4 um、2 um、及1 um處抑制。可執行對於抑制區塊內抑制電漿的變更以恰當地抑制WW或W2W變異的凹入特徵部。在某些實施例中,如此凹入特徵部變異可能造成遠小於抑制區塊之間IED變更的IED變更。在某些實施例中,最大化抑制區塊之間的目標IED變更以在無孔隙的情況下有效地填充特徵部。因為IED對於抑制電漿處理的持續時間係高度敏感的,故通常在抑制區塊之間修改持續時間。相比之下,抑制區塊內對抑制電漿的變更可能較小得多,使得持續時間太敏感而無法針對凹入特徵部中的變異進行變更。如以上所提及的,相較於RF功率或抑制物種流量的相似小變更,抑制電漿處理持續時間的小變更可對於IED具有顯著較大的影響。In some embodiments, a change in the non-continuation time as a parameter may change the IED by less than about 3%, less than about 5%, less than about 10%, or less than about 20%. In some embodiments, a change in the non-continuation time as a parameter may change the IED by less than about 100 nm, less than about 200 nm, less than about 300 nm, less than about 400 nm, or less than about 700 nm. This may be contrasted to changes in the suppressed plasma between suppression blocks where the suppressed plasma may be modified to change the IED by more than about 10% or more than about 20%. For example, in some embodiments, a gap fill process may have four suppression blocks where the parameters for suppressing the plasma between the suppression blocks may be set to suppress at 8 um, 4 um, 2 um, and 1 um. Changes to the suppressed plasma within a suppression block may be performed to appropriately suppress the concave features of WW or W2W variations. In some embodiments, such concave feature variations may result in much smaller IED changes than IED changes between suppression blocks. In some embodiments, the target IED change between suppression blocks is maximized to effectively fill the features without voids. Because the IED is highly sensitive to the duration of the suppressed plasma treatment, the duration is typically modified between suppression blocks. In contrast, changes to the suppressed plasma within a suppression block may be much smaller, making the duration too sensitive to be changed for variations in the concave features. As mentioned above, small changes in suppression plasma treatment duration can have significantly larger effects on IEDs than similar small changes in RF power or suppression species flow.
在諸多實施例中,可在抑制電漿處理之間改變非持續時間的參數俾以如以上討論的IED之範圍為目標。減少抑制物種之流量(如總流量之比例)、降低RF功率、及/或降低壓力可降低IED。在某些實施例中,於第一抑制電漿處理與第二抑制電漿處理之間抑制物種的流量可變更介於約1 sccm與約5 sccm之間。在某些實施例中,於第一抑制電漿處理與第二抑制電漿處理之間RF功率可變更介於約50W與約700W之間。In various embodiments, parameters of the non-continuous time between suppression plasma treatments may be varied to target a range of IEDs as discussed above. Reducing the flow rate of the suppression species (e.g., as a percentage of the total flow rate), reducing the RF power, and/or reducing the pressure may reduce the IED. In certain embodiments, the flow rate of the suppression species may be varied between about 1 sccm and about 5 sccm between a first suppression plasma treatment and a second suppression plasma treatment. In certain embodiments, the RF power may be varied between about 50 W and about 700 W between a first suppression plasma treatment and a second suppression plasma treatment.
當特徵部接近填滿時,可不再需要抑制,並可使用ALD填充的 n4循環(316)完成填充。在某些實施例中,可接著沉積可選的介電質之蓋層或覆蓋層(318)。於此階段可使用電漿增強化學氣相沉積(PECVD)以用於快速沉積。 When the feature is nearly full, inhibition may no longer be required and the fill may be completed using an n4 cycle of ALD fill (316). In some embodiments, an optional capping or blanketing layer of dielectric may then be deposited (318). Plasma enhanced chemical vapor deposition (PECVD) may be used at this stage for rapid deposition.
本揭示內容的另一實施態樣係關於圖1中所示的製程。在某些實施例中,可在製程腔室或工具的多個站中同時執行圖1中所示的製程。如以下關於圖5至圖8進一步描述的內容,製程腔室或工具可具有多個站,其中每一站係可配置以用於接收具有具待填充間隙之結構的基板。可於每一站同時地執行本文中所述的間隙填充製程以填充每一基板中的間隙,其中每一站可共享或連接至中央反應物輸送系統或射頻(RF)功率供應器。Another embodiment of the present disclosure is directed to the process shown in FIG. 1. In some embodiments, the process shown in FIG. 1 can be performed simultaneously in multiple stations of a process chamber or tool. As further described below with respect to FIGS. 5-8, a process chamber or tool can have multiple stations, each of which can be configured to receive a substrate having a structure with a gap to be filled. The gap fill process described herein can be performed simultaneously at each station to fill the gap in each substrate, each of which can share or be connected to a central reactant delivery system or radio frequency (RF) power supply.
在某些實施例中,於單一工具上的站點之間或跨多個工具的站點之間可以不同的速率進行間隙填充。此站點之間膜生長速率的差異可能係由諸多不平衡造成的。例如,至每一站之反應物流動的流體動力學或於每一站的RF產生器可能相異地執行,而造成站點之間處理的變異。如本文中所述的抑制電漿製程可能對於如此變異特別敏感。在某些實施例中,可能執行少至0.1秒的NF 3抑制電漿處理,使得站點之間電漿或流量特徵的變異可影響抑制電漿,而造成因抑制不足或過度抑制的成長速率相應改變。與可依賴飽和的其他ALD製程不同,可能將基板曝露至抑制電漿達足夠短的持續時間以優先地抑制間隙之上部,以便促進由底向上填充。因而,站點之間例如硬體變異的變異可能致使某些站點相較於其他站點以較慢的速率填充,而此為不期望發生的。 In some embodiments, gap filling may be performed at different rates between stations on a single tool or between stations across multiple tools. Such differences in film growth rates between stations may be caused by a number of imbalances. For example, the fluid dynamics of reactant flow to each station or the RF generator at each station may be performed differently, causing variation in processing between stations. Suppressed plasma processes such as described herein may be particularly sensitive to such variations. In some embodiments, NF3 suppressed plasma processing may be performed for as little as 0.1 seconds, so that variations in plasma or flow characteristics between stations can affect the suppressed plasma, causing corresponding changes in growth rate due to under-suppression or over-suppression. Unlike other ALD processes that may rely on saturation, the substrate may be exposed to the suppressed plasma for a sufficiently short duration to preferentially suppress the upper portion of the gap to promote bottom-up fill. Thus, site-to-site variations, such as hardware variations, may cause some sites to fill at a slower rate than other sites, which is undesirable.
圖4A及4B呈現依據本文諸多實施例之4站製程腔室(亦稱為4站工具)的繪圖。在圖4A中,呈現四站402a-d,每一站具有相應的噴淋頭406a-d以及噴淋頭入口閥405a-d。可將每一噴淋頭流體連通至反應物輸送系統401。在某些實施例中,每一噴淋頭可包含可對其提供RF功率的RF產生器,以便產生電漿(指示RF功率至噴淋頭之流動的填充圖案)。於圖4A中,在站402a-d的每一者中已同時地執行間隙填充製程。在站402a-b中,已將介電材料407a-b沉積達目標填充深度411,而站402c-d則係以低於目標填充深度411的介電材料407c-d填充。此填充差異在站402a-b與站402c-d之間可為一致的,即,站402c-d在跨不同基板上之重複操作下一貫地填充不足,而指示填充變異與任何的晶圓之間變異無關。4A and 4B present a drawing of a 4-station process chamber (also referred to as a 4-station tool) according to many embodiments herein. In FIG. 4A , four stations 402a-d are presented, each with a corresponding showerhead 406a-d and showerhead inlet valve 405a-d. Each showerhead may be fluidly connected to a reactant delivery system 401. In certain embodiments, each showerhead may include an RF generator to which RF power may be provided in order to generate a plasma (a fill pattern indicating the flow of RF power to the showerhead). In FIG. 4A , a gap fill process has been performed simultaneously in each of the stations 402a-d. In stations 402a-b, dielectric material 407a-b has been deposited to a target fill depth 411, while stations 402c-d are filled with dielectric material 407c-d below the target fill depth 411. This fill difference may be consistent between stations 402a-b and stations 402c-d, i.e., stations 402c-d consistently underfill over repeated runs across different substrates, indicating that the fill variation is not related to any wafer-to-wafer variation.
圖4B繪示藉由在站402c-d中但不在站402a-b中執行額外沉積而修正此填充差異的方法。在站402c-d中,仍提供RF功率以產生電漿(如同藉由噴淋頭406c-d上的點陣圖案所繪示的),而不提供RF功率至站402a-b。相似地,可維持至站402c-d的反應物流動,但反應物不流動至站402a-b(如同藉由噴淋頭入口閥405a-b下方填充圖案之空缺所繪示的)。可關閉噴淋頭入口閥405a-b以抑制氣體至站402a-b的流動。隨著提供反應物流動與電漿功率至站402c-d但不至站402a-b,額外的介電材料將沉積於站402c-d中的基板上,導致被沉積達目標填充深度411之介電材料409c-d的沉積,而匹配站402a-b中填充及介電材料407a-b的深度。在某些實施例中,於站402c-d中但不在站402a-b中執行額外的生長循環。例如,於圖4B中,可在站402a-b中執行50次生長循環並可在站402c-d中執行60次生長循環,其中儘管生長循環之總次數有差別,但在所有站的基板中呈現相同的介電質填充之總深度。於站402c-d中執行的10次額外生長循環期間,於站402a-b中不發生沉積。FIG. 4B illustrates a method of correcting this fill difference by performing additional deposition in stations 402c-d but not in stations 402a-b. In stations 402c-d, RF power is still provided to generate plasma (as illustrated by the dot pattern on showerheads 406c-d), while RF power is not provided to stations 402a-b. Similarly, reactant flow to stations 402c-d may be maintained, but reactant does not flow to stations 402a-b (as illustrated by the gaps in the fill pattern below showerhead inlet valves 405a-b). Showerhead inlet valves 405a-b may be closed to inhibit the flow of gas to stations 402a-b. As reactant flow and plasma power are provided to stations 402c-d but not to stations 402a-b, additional dielectric material is deposited on the substrate in stations 402c-d, resulting in deposition of dielectric material 409c-d deposited to a target fill depth 411, matching the depth of the fill and dielectric material 407a-b in stations 402a-b. In some embodiments, additional growth cycles are performed in stations 402c-d but not in stations 402a-b. For example, in FIG. 4B, 50 growth cycles may be performed in stations 402a-b and 60 growth cycles may be performed in stations 402c-d, wherein despite the difference in the total number of growth cycles, the same total depth of dielectric fill is exhibited in the substrate at all stations. During the 10 additional growth cycles performed in stations 402c-d, no deposition occurred in stations 402a-b.
在某些實施例中,可皆不提供RF功率及反應物流動兩者至站402a-b。在其他實施例中,可僅停止RF功率或僅停止反應物流動至某些站。在某些實施例中,沉積製程係電漿增強製程而使得在沒有電漿的情況下,反應物將不會沉積或者與腔室中基板有意義地交互作用。因而,即使流動反應物,電漿的缺乏仍導致沒有沉積、抑制或蝕刻製程。在某些實施例中,不提供RF功率至站點相對於停止反應物流動可為較佳的,因為停止反應物至一或更多站的流動可能影響反應物至其他站的流動,而此為不期望發生的。In some embodiments, both RF power and reactant flow may not be provided to stations 402a-b. In other embodiments, only RF power or only reactant flow to certain stations may be stopped. In some embodiments, the deposition process is a plasma enhanced process such that in the absence of plasma, the reactants will not deposit or interact meaningfully with the substrate in the chamber. Thus, even if the reactants are flowing, the lack of plasma still results in no deposition, inhibition, or etching process. In some embodiments, not providing RF power to the stations may be preferred to stopping reactant flow because stopping the flow of reactants to one or more stations may affect the flow of reactants to other stations, which is undesirable.
可替代地,在某些實施例中,可停止反應物至一或更多站的流動。可於其中沉積可在沒有電漿的情況下發生的實施例中執行此例,例如熱沉積製程。在某些實施例中,在沒有昂貴或耗時之製程的情況下,站點可能無法充分冷卻至熱沉積溫度以下。在沒有反應物的情況下,來自如此反應物的沉積將不會發生。Alternatively, in certain embodiments, the flow of reactants to one or more stations may be stopped. This may be performed in embodiments where deposition may occur without plasma, such as a thermal deposition process. In certain embodiments, the stations may not be able to sufficiently cool below the thermal deposition temperature without expensive or time consuming processes. In the absence of reactants, deposition from such reactants will not occur.
於其中停止RF功率及/或反應物流動至一或更多站點的實施例中,可適當地調整RF功率及/或反應物流動以為其中仍可執行沉積製程的剩餘站點作考量。例如,在某些實施例中,針對例如圖4A中所示之四站腔室的RF功率可為約7000W,而每一站接收約1250W。在圖4B中,考量到RF功率僅提供至兩站點而可將RF功率調整至2700W,其中每一站仍接收約1250W。可針對反應物流動執行相似的變動以當於兩站點中進行沉積時維持與當在四站點中沉積時相似的每站流率。In embodiments where RF power and/or reactant flow to one or more stations is stopped, the RF power and/or reactant flow may be appropriately adjusted to account for the remaining stations where deposition processes may still be performed. For example, in certain embodiments, the RF power for a four-station chamber such as that shown in FIG. 4A may be approximately 7000 W, with each station receiving approximately 1250 W. In FIG. 4B , the RF power may be adjusted to 2700 W, with each station still receiving approximately 1250 W, taking into account that RF power is only provided to two stations. Similar changes may be made to reactant flow to maintain similar per-station flow rates when performing deposition in two stations as when depositing in four stations.
圖5顯示可依據所揭示實施例使用之製程序列的範例。圖5可揭示具有與以上關於圖3所揭示之參考符號相同的操作。當使用相同參考符號時,可執行相同或相似的操作。FIG5 shows an example of a process sequence that may be used in accordance with the disclosed embodiments. FIG5 may disclose operations having the same reference numbers as disclosed above with respect to FIG3. When the same reference numbers are used, the same or similar operations may be performed.
圖5中的製程序列包括使用抑制電漿處理基板。在某些實施例中可省略其他操作(例如,浸泡)並且在某些實施例中可添加操作。在圖5之示例性的製程序列中,一或更多晶圓進行間隙填充。製程可始於提供至沉積腔室之後的浸泡(302)。此舉可有利於例如移除顆粒或其他預處理。然後,執行襯墊之ALD沉積的 n1循環(304)。以下討論襯墊ALD的進一步細節。 The process sequence of FIG. 5 includes treating a substrate using a suppressed plasma. Other operations (e.g., soaking) may be omitted in certain embodiments and operations may be added in certain embodiments. In the exemplary process sequence of FIG. 5, one or more wafers undergo gapfill. The process may begin with a soak (302) after being provided to a deposition chamber. This may be beneficial, for example, for particle removal or other pre-processing. Then, an n1 cycle of ALD deposition of a liner is performed (304). Further details of liner ALD are discussed below.
在顯示第一抑制區塊( n=1)之操作下,於沉積可選的襯墊之後執行 n次抑制區塊。第一操作係為表面處理的抑制電漿(308)。如以上所討論的,電漿可包括含陰離子與自由基物種的鹵素物種,例如F -、Cl -、I -、Br -、氟自由基等。可使用其他抑制電漿。在某些實施例中,抑制電漿產自不含鹵素物種,包括含氮不含鹵素物種。例如,可使用產自分子氮(N 2)、分子氫(H 2)、氨(NH 3)、胺、二醇、二胺、胺醇、硫醇、鹵烷、鹵化物、HF、含氟物種、含氯物種、含碘物種、或以上之組合的電漿作為抑制電漿。 In the operation showing the first suppression block ( n =1), n suppression blocks are performed after depositing the optional liner. The first operation is a suppression plasma (308) for surface treatment. As discussed above, the plasma may include halogen species containing anion and radical species, such as F- , Cl- , I- , Br- , fluorine radicals, etc. Other suppression plasmas may be used. In some embodiments, the suppression plasma is generated from a non-halogenated species, including a nitrogen-containing non-halogenated species. For example, plasma generated from molecular nitrogen ( N2 ), molecular hydrogen ( H2 ), ammonia ( NH3 ), amines, diols, diamines, amine alcohols, thiols, halides, halides, HF, fluorine-containing species, chlorine-containing species, iodine-containing species, or combinations thereof can be used as the suppression plasma.
當抑制電漿與特徵部中的材料交互作用時,由於幾何遮蔽效應,於特徵部之底部的材料與位於較靠近特徵部之頂部或在場區中的材料相比乃接受較少的電漿處理。因此,於特徵部之頂部處的沉積被選擇性地抑制,而在特徵部之下部中的沉積以較少抑制或不被抑制的方式進行。在圖5中,抑制區塊中的下一操作為ALD填充的 n2循環(310)。於特徵部的底部處選擇性地沉積介電材料。在某些實施例中,ALD填充的 n2循環可包含至少一次ALD循環、至少約10次ALD循環、或介於1次與約15次ALD循環之間。抑制電漿與ALD填充的 n2循環共同構成生長循環。可重複此循環 n3次以在當抑制效果減弱時以間歇性抑制操作持續填充特徵部。 When the plasma is inhibited from interacting with the material in the feature, due to geometric shielding effects, the material at the bottom of the feature receives less plasma treatment than the material located closer to the top of the feature or in the field area. Therefore, deposition at the top of the feature is selectively inhibited, while deposition in the lower portion of the feature proceeds in a less inhibited or uninhibited manner. In Figure 5, the next operation in the inhibit block is an n2 cycle of ALD fill (310). Dielectric material is selectively deposited at the bottom of the feature. In some embodiments, the n2 cycle of ALD fill may include at least one ALD cycle, at least about 10 ALD cycles, or between 1 and about 15 ALD cycles. The n2 cycles of suppressing the plasma and ALD fill together form the growth cycle. This cycle can be repeated n3 times to continue filling the feature with intermittent suppression as the suppression effect wears off.
在某些實施例中,可在工具中站點的子集上執行額外的生長循環(313)。如上所述,工具中的一或更多站點可能具有較低的沉積速率而使得該站點中基板的特徵部相對於其他站點填充不足。如此基板相較於不同站點中的基板可能具有具介電材料之較低填充深度的間隙。可在如此填充不足站點中執行額外的生長循環。可藉由抑制至其他站點的RF功率或反應物流動來實現此舉,使得於後接的生長循環期間僅將以額外的介電材料填充站點的子集。額外的生長循環不會於不包括在站點之該子集內的站點中產生額外的介電材料沉積。In certain embodiments, additional growth cycles may be performed on a subset of sites in a tool (313). As described above, one or more sites in a tool may have a lower deposition rate causing features of a substrate in that site to be underfilled relative to other sites. Such a substrate may have gaps with a lower fill depth of dielectric material than substrates in different sites. Additional growth cycles may be performed in such underfilled sites. This may be accomplished by suppressing RF power or reactant flow to other sites so that only the subset of sites will be filled with additional dielectric material during a subsequent growth cycle. Additional growth cycles do not result in additional dielectric material deposition in sites not included in the subset of sites.
在某些實施例中,於站點的子集中執行額外的ALD填充之 n2循環。例如,於310期間可在所有站點中執行ALD填充之 n2循環,並且可在站點的子集中執行額外次數的ALD循環。 In some embodiments, an additional n2 cycles of ALD fill are performed in a subset of the sites. For example, n2 cycles of ALD fill can be performed in all sites during 310, and an additional number of ALD cycles can be performed in a subset of the sites.
在某些實施例中,於每一抑制區塊期間可針對站點的子集執行額外的生長循環。如以上所提及的,可藉由IED表徵每一抑制區塊,IED係於其上方之沉積受到抑制的深度。若未在一抑制區塊的結束之前充分填充間隙,則於後接抑制區塊期間可能由於夾止效應而形成孔隙。當後接抑制區塊將具有較高IED時可能發生此情況,使得間隙中之沉積可能於較高處發生。因為沉積將從側壁朝向特徵部的中央進行,由於特徵部內於較高深度處的膜生長,反應物可能無法擴散至特徵部之底部以使用介電材料完全填充。In certain embodiments, additional growth cycles may be performed for a subset of sites during each inhibition block. As mentioned above, each inhibition block may be characterized by an IED, which is the depth above which deposition is inhibited. If the gap is not fully filled before the end of an inhibition block, voids may form during a subsequent inhibition block due to pinching effects. This may occur when the subsequent inhibition block will have a higher IED, so that deposition in the gap may occur higher. Because deposition will proceed from the side walls toward the center of the feature, reactants may not be able to diffuse to the bottom of the feature to be completely filled with dielectric material due to film growth at higher depths within the feature.
為避免如此夾止,在某些實施例中,於站點之子集中可在每一抑制區塊內執行額外的ALD循環或生長循環。在某些實施例中,每一站點在抑制區塊之間可具有實質上相同的基板之填充深度。因而,在某些實施例中,可於站點的子集中執行額外的 n2循環,例如額外的ALD循環。在某些實施例中,可於站點的子集中執行額外的 n3循環,例如額外的抑制電漿處理及ALD循環。 To avoid such clamping, in some embodiments, additional ALD cycles or growth cycles may be performed in each inhibiting block in a subset of sites. In some embodiments, each site may have substantially the same fill depth of the substrate between inhibiting blocks. Thus, in some embodiments, additional n2 cycles may be performed in a subset of sites, such as additional ALD cycles. In some embodiments, additional n3 cycles may be performed in a subset of sites, such as additional inhibiting plasma treatments and ALD cycles.
在諸多實施例中,所述站點的子集可為四站工具中的一、二、或三站點。工具亦可具有較四站更多或更少的站點;在某些實施例中,工具具有至少兩站點,其中僅在一站點中執行額外的生長循環。可執行額外的生長循環,直到站點之子集中的填充深度實質上相似於非站點之該子集之部分的站點中之填充深度為止。In many embodiments, the subset of sites may be one, two, or three sites in a four-site tool. A tool may also have more or fewer than four sites; in some embodiments, a tool has at least two sites, with additional growth cycles performed in only one site. Additional growth cycles may be performed until the fill depth in the subset of sites is substantially similar to the fill depth in sites that are not part of the subset of sites.
在圖5的範例中,抑制區塊在鈍化操作下結束(312)。在某些實施例中,在每一抑制區塊中可不執行鈍化操作。鈍化係移除殘留抑制劑並且亦可緻密化所沉積之膜的表面處理。在某些實施例中,使用氫及/或氧電漿。In the example of FIG. 5 , the inhibition block ends with a passivation operation ( 312 ). In some embodiments, a passivation operation may not be performed in each inhibition block. Passivation is a surface treatment that removes residual inhibitors and may also densify the deposited film. In some embodiments, hydrogen and/or oxygen plasma is used.
可執行包括生長循環及鈍化的一或更多額外抑制區塊達總數為 n的抑制區塊(314)。抑制區塊的數量取決於使用多少材料填充特徵部。在用以填充特徵部的抑制區塊與抑制區塊之間可改變抑制電漿、ALD、及鈍化條件。例如,抑制電漿持續時間可為20秒,直到填充特徵部的底部四分之一(抑制區塊1),然後針對結構的中間50%改變為5秒(抑制區塊2)等等。每一抑制區塊可具有不同的IED,其中針對抑制區塊之抑制電漿處理的製程參數被改變俾以不同的IED為目標。每一抑制區塊可填充該抑制區塊之IED下方特徵部的一部分。 One or more additional inhibition blocks including growth cycles and passivation may be performed for a total of n inhibition blocks (314). The number of inhibition blocks depends on how much material is used to fill the feature. The inhibition plasma, ALD, and passivation conditions may be varied between inhibition blocks used to fill the feature and inhibition blocks. For example, the inhibition plasma duration may be 20 seconds until the bottom quarter of the feature is filled (inhibition block 1), then changed to 5 seconds for the middle 50% of the structure (inhibition block 2), and so on. Each inhibition block may have a different IED, where the process parameters of the inhibition plasma treatment for the inhibition block are varied to target the different IEDs. Each inhibition block may fill a portion of the feature below the IED of that inhibition block.
在某些實施例中,可於站點的子集中執行額外的抑制區塊。相似於以上的操作311,可於站點的子集中執行額外的抑制區塊,包括抑制電漿、ALD間隙填充、及鈍化。在非站點之該子集之部分的站點中不執行額外的抑制區塊,使得僅在站點的該子集中執行額外的抑制區塊。In some embodiments, additional inhibit blocks may be performed on a subset of sites. Similar to
當特徵部接近填滿時,可不再需要抑制,並可使用ALD填充的 n4循環(316)完成填充。在某些實施例中,可接著沉積可選的介電質之蓋層或覆蓋層(318)。於此階段可使用電漿增強化學氣相沉積(PECVD)以用於快速沉積。 When the feature is nearly full, inhibition may no longer be required and the fill may be completed using an n4 cycle of ALD fill (316). In some embodiments, an optional capping or blanketing layer of dielectric may then be deposited (318). Plasma enhanced chemical vapor deposition (PECVD) may be used at this stage for rapid deposition.
ALD為序列地沉積薄層材料之技術。ALD製程使用表面介導的沉積反應以在一層接一層的基礎上循環地沉積膜。ALD「循環」的概念與本文中諸多實施例的討論內容相關。通常,循環係用於執行一次表面沉積反應的最小操作集合。一次循環的結果係在基板表面上產生至少部分含矽膜層。通常,ALD循環包括將至少一反應物輸送並吸附至基板表面、然後將所吸附之反應物與一或更多反應物反應以形成部分膜層的操作。循環可包括某些輔助操作,例如清除反應物或副產物的其中之一及/或處理甫沉積的部分膜。通常,循環包含特定操作序列的一實例。ALD is a technique for sequentially depositing thin layers of material. The ALD process uses surface-mediated deposition reactions to cyclically deposit films on a layer-by-layer basis. The concept of an ALD "cycle" is relevant to the discussion of many embodiments herein. Typically, a cycle is a minimum set of operations used to perform a surface deposition reaction. The result of a cycle is the production of at least a partial silicon-containing film layer on the substrate surface. Typically, an ALD cycle includes the operation of transporting and adsorbing at least one reactant to the substrate surface, and then reacting the adsorbed reactant with one or more reactants to form a partial film layer. The cycle may include certain auxiliary operations, such as cleaning one of the reactants or byproducts and/or treating a portion of the film that has just been deposited. Typically, a cycle includes an instance of a specific sequence of operations.
作為範例,ALD循環可包括下列操作:(i)前驅物的輸送/吸附、(ii)腔室中前驅物的吹淨、(iii)第二反應物的輸送以及可選的電漿點火、及(iv)腔室中副產物的吹淨。第二反應物與所吸附前驅物之間用以在基板之表面上形成膜的反應影響膜成分與性質,例如不均勻性、應力、濕蝕刻速率、乾蝕刻速率、電性(例如崩潰電壓與漏電流)等等。As an example, an ALD cycle may include the following operations: (i) delivery/adsorption of precursors, (ii) purging of the chamber from precursors, (iii) delivery of a second reactant and optional plasma ignition, and (iv) purging of the chamber from byproducts. The reaction between the second reactant and the adsorbed precursor to form a film on the surface of the substrate affects the film composition and properties, such as non-uniformity, stress, wet etch rate, dry etch rate, electrical properties (such as breakdown voltage and leakage current), etc.
在ALD製程的一範例中,將包括一群表面活性位置的基板表面曝露至供應至容置基板之腔室之劑量中例如含矽前驅物之第一前驅物的氣相分佈。將此第一前驅物的分子吸附至基板表面上,包括第一前驅物的化學吸附物種及/或物理吸附分子。當化合物如本文所述的被吸附至基板表面上時,吸附層可包括該化合物以及該化合物的衍生物。例如,含矽前驅物的吸附層可包括該含矽前驅物以及該含矽前驅物的衍生物。在第一前驅物劑量後,接著將腔室排空以去除大部分或全部仍呈氣相的第一前驅物,使得大部分或者僅有被吸附物種留存下來。在某些實施方式中,可不完全排空腔室。例如,可將反應器排空,使得呈氣相之第一前驅物的分壓低至足以減輕反應。將例如含氧氣體或含氮氣體的第二反應物引入腔室,使得這些分子中的某些與吸附在表面上的第一前驅物反應。在某些製程中,第二反應物立刻與被吸附的第一前驅物反應。在其他實施例中,第二反應物僅在時間性施加例如電漿之活化源的情況下反應。接著再度將腔室排空,以去除未結合的第二反應物分子。如以上所述,在某些實施例中,可不將腔室完全排空。可使用額外的ALD循環以建築膜厚。In one example of an ALD process, a substrate surface including a group of surface active sites is exposed to a vapor phase distribution of a first precursor, such as a silicon-containing precursor, in a dose supplied to a chamber containing the substrate. Molecules of the first precursor are adsorbed onto the substrate surface, including chemically adsorbed species and/or physically adsorbed molecules of the first precursor. When a compound is adsorbed onto the substrate surface as described herein, the adsorption layer may include the compound and derivatives of the compound. For example, the adsorption layer of the silicon-containing precursor may include the silicon-containing precursor and derivatives of the silicon-containing precursor. After the first precursor dose, the chamber is then evacuated to remove most or all of the first precursor that is still in the vapor phase, so that most or only the adsorbed species remain. In some embodiments, the chamber may not be completely evacuated. For example, the reactor can be evacuated so that the partial pressure of the first precursor in the gas phase is low enough to reduce the reaction. A second reactant, such as an oxygen-containing gas or a nitrogen-containing gas, is introduced into the chamber so that some of these molecules react with the first precursor adsorbed on the surface. In some processes, the second reactant reacts immediately with the adsorbed first precursor. In other embodiments, the second reactant reacts only when an activation source, such as a plasma, is applied temporarily. The chamber is then evacuated again to remove unbound second reactant molecules. As described above, in some embodiments, the chamber may not be completely evacuated. Additional ALD cycles may be used to build film thickness.
圖6呈現用於單一電漿增強ALD循環的製程流程圖,其可實施作為圖3中所示之操作304、310、及/或316的一部分。在操作602中,將基板曝露至含矽前驅物,以將前驅物吸附至特徵部的表面上。此操作可為自我侷限性的。在某些實施例中,前驅物吸附至少於特徵部之表面上所有活性位置處。在操作604中,可選地吹淨製程腔室以移除任何未吸附的含矽前驅物。在操作606中,將該基板曝露至產自共同反應物的電漿。範例包括O
2及/或N
2O以形成氧化矽層或氮氧化矽層、N
2或NH
3以形成氮化矽層、甲烷(CH
4)以產生碳化矽層等等。在操作608中,可選地吹淨製程腔室以移除來自含矽前驅物與氧化劑之間反應的副產物。重複操作602至608達數次循環以於特徵部中沉積含矽層至所需厚度。
FIG. 6 presents a process flow diagram for a single plasma enhanced ALD cycle, which may be implemented as part of
應留意本文中所述的製程並不限於特定的反應機制。因此,關於圖4所描述的製程包括使用依序曝露至含矽反應物及轉化電漿的所有沉積製程,包括並非嚴格自我侷限者。製程包括其中在間歇性電漿點火下於整個製程中持續流動用以產生電漿之一或更多氣體的序列。It should be noted that the processes described herein are not limited to a particular reaction mechanism. Thus, the process described with respect to FIG. 4 includes all deposition processes that use sequential exposure to a silicon-containing reactant and a conversion plasma, including those that are not strictly self-limiting. The process includes a sequence in which one or more gases used to generate the plasma are continuously flowed throughout the process with intermittent plasma ignition.
在某些實施例中,可於超過約1托、至少約10托、至少約15托、至少約20托、介於約10托與約30托之間、或介於約15托與30托之間的壓力下執行抑制電漿處理。In certain embodiments, the suppressed plasma treatment may be performed at a pressure greater than about 1 Torr, at least about 10 Torr, at least about 15 Torr, at least about 20 Torr, between about 10 Torr and about 30 Torr, or between about 15 Torr and 30 Torr.
抑制電漿處理的持續時間可介於約0.3秒與約60秒之間、介於約0.3秒與約30秒之間、至少約0.3秒、至少約1秒、至少約5秒、至少約10秒、至少約20秒、或至少約30秒。使用含鹵素物種的抑制電漿處理通常可較不含鹵素物種執行較短的持續時間,因為含鹵素物種相較於不含鹵素物種可更有效率地鈍化表面。The duration of the suppressed plasma treatment may be between about 0.3 seconds and about 60 seconds, between about 0.3 seconds and about 30 seconds, at least about 0.3 seconds, at least about 1 second, at least about 5 seconds, at least about 10 seconds, at least about 20 seconds, or at least about 30 seconds. Suppressed plasma treatments using halogen-containing species may generally be performed for shorter durations than halogen-free species because halogen-containing species may passivate the surface more efficiently than halogen-free species.
可將抑制電漿處理用於諸多深寬比及結構深度。在某些實施例中,可將抑制電漿處理用於低深寬比結構。低深寬比結構可具有介於約3:1與約7:1之間、小於約10:1、介於約3:1與約10:1之間、介於約3:1與約15:1之間、或小於約15:1的深寬比。低深寬比結構可具有至少約100 nm、至少約1 µm、至少約2 µm、或至少約3 µm的深度。The suppressed plasma treatment may be used for a variety of aspect ratios and structure depths. In certain embodiments, the suppressed plasma treatment may be used for low aspect ratio structures. The low aspect ratio structures may have an aspect ratio of between about 3:1 and about 7:1, less than about 10:1, between about 3:1 and about 10:1, between about 3:1 and about 15:1, or less than about 15:1. The low aspect ratio structures may have a depth of at least about 100 nm, at least about 1 µm, at least about 2 µm, or at least about 3 µm.
在某些實施例中,可使用百分比來表徵IED,例如,30% IED係指特徵部之總深度之30%的抑制有效深度。因此,若特徵部具有1 µm的深度,則30% IED意謂著沉積會沿著從特徵部之頂部起300 nm內的特徵部之側壁表面受抑制,而其餘的深度不受抑制。在某些實施例中,依據本文所述實施例之抑制電漿處理的IED可為約20%、約30%、約40%、約50%、約60%、或約70%。In some embodiments, the IED may be characterized as a percentage, for example, 30% IED means an inhibition effective depth of 30% of the total depth of the feature. Thus, if a feature has a depth of 1 µm, 30% IED means that deposition is inhibited along the sidewall surface of the feature within 300 nm from the top of the feature, and is not inhibited for the rest of the depth. In some embodiments, the IED for inhibiting plasma treatment according to embodiments described herein may be about 20%, about 30%, about 40%, about 50%, about 60%, or about 70%.
在某些實施例中,結構可具有變異的凹入特徵部。在某些實施例中,於任意特定深度處之間隙內的臨界尺寸可變異至少約5%、至少約10%、至少約15%、至少約20%、或介於約10%與約20%之間。在某些實施例中,凹入特徵部的尺寸可變異至少約5%、至少約10%、至少約15%、至少約20%、或介於約10%與約20%之間,其中尺寸係可基於凹入特徵部之最窄部分處臨界尺寸與最寬部分處臨界尺寸之間的差。在某些實施例中,凹入特徵部的深度可變異至少約5%、至少約10%、至少約15%、至少約20%、或介於約10%與約20%之間。In some embodiments, the structure can have variable concave features. In some embodiments, the critical size within the gap at any particular depth can vary by at least about 5%, at least about 10%, at least about 15%, at least about 20%, or between about 10% and about 20%. In some embodiments, the size of the concave feature can vary by at least about 5%, at least about 10%, at least about 15%, at least about 20%, or between about 10% and about 20%, where the size can be based on the difference between the critical size at the narrowest portion of the concave feature and the critical size at the widest portion. In some embodiments, the depth of the concave feature can vary by at least about 5%, at least about 10%, at least about 15%, at least about 20%, or between about 10% and about 20%.
在某些實施例中,抑制物種與惰性氣體的比例可為約1:5、約1:10、介於約1:10與約1:20之間、介於約1:100與約1:700之間、或介於約1:5與約1:7000之間。大體而言,增加例如NF 3之抑制物種之氣體流量的比重乃增加曝露基板至抑制電漿的抑制效果。相似的,藉由修改抑制物種抑或惰性氣體之流量而減少抑制物種之氣體流量的比重將會降低抑制效果。在某些實施例中,例如N 2之不含鹵素物種的流量可介於10 slm與約100 slm之間。在某些實施例中,可將惰性氣體與用於抑制之物種共流。惰性氣體可包括氦、氬、氙,或是不與氣體或基板表面中其他物種反應的其他氣體。當使用惰性氣體時,其流量可介於約3.5與約15 slm之間或介於約10 slm與約40 slm之間。在某些實施例中,可將含氧或含氫物種與用於抑制之物種共流。若用於抑制之物種包括氮原子,則氮原子可能與含矽前驅物或矽膜反應以形成矽氮化物。添加含氧或含氫物種可分別抑制矽氧化物或矽變成矽氮化物的轉化。在某些實施例中,含氧或含氫物種的共流量可至少約100 sccm、或介於約0與約5 slm之間。 In some embodiments, the ratio of the inhibiting species to the inert gas may be about 1:5, about 1:10, between about 1:10 and about 1:20, between about 1:100 and about 1:700, or between about 1:5 and about 1:7000. In general, increasing the proportion of the gas flow of the inhibiting species, such as NF3, increases the inhibitory effect of exposing the substrate to the inhibiting plasma. Similarly, decreasing the proportion of the gas flow of the inhibiting species by modifying the flow of either the inhibiting species or the inert gas will reduce the inhibitory effect. In some embodiments, the flow of the non-halogenated species, such as N2, may be between 10 slm and about 100 slm. In some embodiments, the inert gas may be co-flowed with the inhibiting species. The inert gas may include helium, argon, xenon, or other gases that do not react with other species in the gas or substrate surface. When an inert gas is used, its flow rate may be between about 3.5 and about 15 slm or between about 10 slm and about 40 slm. In some embodiments, an oxygen-containing or hydrogen-containing species may be co-flowed with the species used for inhibition. If the species used for inhibition includes nitrogen atoms, the nitrogen atoms may react with the silicon-containing precursor or the silicon film to form silicon nitride. The addition of oxygen-containing or hydrogen-containing species may inhibit the conversion of silicon oxide or silicon to silicon nitride, respectively. In some embodiments, the co-flow rate of oxygen-containing or hydrogen-containing species may be at least about 100 sccm, or between about 0 and about 5 slm.
在諸多實施例中,電漿係原位電漿,使得電漿在站點中的基板表面上方直接形成。在某些實施例中,用於原位電漿的示例性每基板區域功率係介於約0.2122 W/cm 2與約2.122 W/cm 2之間。例如,對於處理四個300 mm晶圓的腔室而言,功率範圍可自約1000W至約8000W。在某些實施例中,針對四個300 mm晶圓,功率可介於約2700W與約8000W之間。可藉由使用兩個電容式耦合板施加射頻(RF)場至氣體而產生ALD製程之電漿。在兩板之間藉由RF場之氣體離子化而點燃電漿,而在電漿放電區中產生自由電子。這些電子係藉由RF場而加速,並可與氣相反應物分子發生碰撞。這些電子與反應物分子之碰撞可形成參與沉積製程之自由基物種。應理解的是,RF場可經由任何適當的電極而耦合。電極的非限制性範例包括製程氣體分配噴淋頭及基板支撐台座。應理解的是,可藉由RF場至氣體之電容耦合以外的一或更多合適方法來形成用於ALD製程之電漿。在某些實施例中,電漿為遠端電漿,使得第二反應物在站點之上游的遠端電漿產生器中被點燃,然後輸送至基板所在的站點。 In many embodiments, the plasma is an in-situ plasma such that the plasma is formed directly above the surface of the substrate in the station. In some embodiments, an exemplary power per substrate area for the in-situ plasma is between about 0.2122 W/cm 2 and about 2.122 W/cm 2. For example, for a chamber processing four 300 mm wafers, the power may range from about 1000 W to about 8000 W. In some embodiments, for four 300 mm wafers, the power may be between about 2700 W and about 8000 W. The plasma for the ALD process may be generated by applying a radio frequency (RF) field to the gas using two capacitively coupled plates. The plasma is ignited between the two plates by ionization of the gas by the RF field, and free electrons are generated in the plasma discharge region. These electrons are accelerated by the RF field and can collide with gas phase reactant molecules. The collisions of these electrons with reactant molecules can form free radical species that participate in the deposition process. It should be understood that the RF field can be coupled via any suitable electrodes. Non-limiting examples of electrodes include process gas distribution showerheads and substrate support pedestals. It should be understood that the plasma used in the ALD process can be formed by one or more suitable methods other than capacitive coupling of the RF field to the gas. In some embodiments, the plasma is a remote plasma, so that the second reactant is ignited in a remote plasma generator upstream of the station and then transported to the station where the substrate is located.
為了沉積含矽膜,可使用一或更多含矽前驅物。在某些範例中,含矽前驅物可包括矽烷(例如SiH 4)、其中n ≥ 1的聚矽烷(H 3Si‑(SiH 2) n‑SiH 3)、有機矽烷、鹵代矽烷、胺基矽烷、烷氧基矽烷等等。有機矽烷例如甲基矽烷、乙基矽烷、 異丙基矽烷、三級丁基矽烷、二甲基矽烷、二乙基矽烷、雙-三級丁基矽烷、丙烯基矽烷、二級丁基矽烷、 叔己基矽烷、異戊基矽烷、三級丁基二矽烷、雙-三級丁基二矽烷等等。 To deposit the silicon-containing film, one or more silicon-containing precursors may be used. In some examples, the silicon-containing precursors may include silanes (e.g., SiH 4 ), polysilanes where n ≥ 1 (H 3 Si-(SiH 2 ) n -SiH 3 ), organic silanes, halogenated silanes, amino silanes, alkoxy silanes, and the like. Examples of organic silanes include methylsilane, ethylsilane, isopropylsilane, tertiary butylsilane, dimethylsilane, diethylsilane, bis-tertiary butylsilane, acrylsilane, dibutylsilane, tert-hexylsilane, isopentylsilane, tertiary butyldisilane, bis-tertiary butyldisilane, and the like.
鹵代矽烷包括至少一鹵基並且可包括或者可不包括氫及/或碳基。鹵代矽烷之範例為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。特定的氯矽烷為四氯矽烷、三氯矽烷、二氯矽烷、單氯矽烷、氯丙烯基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、三級丁基氯矽烷、雙-三級丁基氯矽烷、氯異丙基矽烷、氯-二級丁基矽烷、三級丁基二甲基氯矽烷、叔己基二甲基氯矽烷等等。Halogenated silanes include at least one halogen group and may or may not include hydrogen and/or carbon groups. Examples of halogenated silanes are iodosilanes, bromosilanes, chlorosilanes, and fluorosilanes. Specific chlorosilanes are tetrachlorosilane, trichlorosilane, dichlorosilane, monochlorosilane, chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, tributylchlorosilane, bis-tributylchlorosilane, chloroisopropylsilane, chloro-dibutylsilane, tributyldimethylchlorosilane, tert-hexyldimethylchlorosilane, and the like.
胺基矽烷包括鍵結至矽原子的至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷的範例為單、雙、三及四-胺基矽烷(分別為H 3Si(NH 2)、 H 2Si(NH 2) 2、HSi(NH 2) 3及Si(NH 2) 4),以及經取代的單、雙、三及四-胺基矽烷,例如,叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、雙(叔丁基胺基)矽烷(SiH 2(NHC(CH 3) 3) 2)(BTBAS)、叔丁基矽基胺甲酸酯、SiH(CH 3)-(N(CH 3) 2) 2、SiHCl‑(N(CH 3) 2) 2、(Si(CH 3) 2NH) 3、二(異丙基醯胺基)矽烷(DIPAS)、二(二級丁基胺基)矽烷(DSBAS)、SiH 2[N(CH 2CH 3) 2] 2(BDEAS)等等。胺基矽烷的進一步範例為三矽基胺(N(SiH 3))。在某些實施例中,可使用具有附接至中心矽原子之二或更多胺基的胺基矽烷。此些相較於僅有單一胺基附接的胺基矽烷可造成較少的損傷。 Aminosilanes include at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogen, oxygen, halogens, and carbon. Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilanes ( H3Si ( NH2 ), H2Si ( NH2 ) 2 , HSi( NH2 ) 3 and Si( NH2 ) 4 , respectively), and substituted mono-, di-, tri- and tetra-aminosilanes, such as tert-butylaminosilane, methylaminosilane, tert-butylsilylamine, bis(tert-butylamino)silane ( SiH2 (NHC( CH3 ) 3 ) 2 ) (BTBAS), tert-butylsilylcarbamate, SiH( CH3 )-(N( CH3 ) 2 ) 2 , SiHCl-(N( CH3 ) 2 ) 2 , (Si( CH3 ) 2NH ) 3 , di(isopropylamido)silane (DIPAS), di(dibutylamido)silane (DSBAS), SiH 2 [N(CH 2 CH 3 ) 2 ] 2 (BDEAS), etc. A further example of an aminosilane is trisilylamine (N(SiH 3 )). In certain embodiments, aminosilanes having two or more amine groups attached to the central silicon atom may be used. These aminosilanes may cause less damage than those having only a single amine group attached.
含矽前驅物的進一步範例包括三甲基矽烷(3MS);乙基矽烷;丁矽烷;戊矽烷;辛矽烷;庚矽烷;己矽烷;環丁矽烷;環庚矽烷;環己矽烷;環辛矽烷;環戊矽烷;1,4-二氧雜-2,3,5,6-四矽環己烷(1,4‑dioxa‑2,3,5,6‑tetrasilacyclohexane);二乙氧基甲基矽烷(DEMS);二乙氧基矽烷(DES);二甲氧基甲基矽烷;二甲氧基矽烷(DMOS);甲基二乙氧基矽烷(MDES);甲基二甲氧基矽烷(MDMS);八甲氧基十二烷基矽氧烷(OMODDS);叔丁氧基二矽烷;四甲基環四矽氧烷(TMCTS);四氧甲基環四矽氧烷(TOMCTS);三乙氧基矽烷(TES);三乙氧基矽氧烷(TRIES);及三甲氧基矽烷(TMS或TriMOS)。Further examples of silicon-containing precursors include trimethylsilane (3MS); ethylsilane; butasilane; pentasilane; octasilane; heptasilane; hexasilane; cyclobutasilane; cycloheptasilane; cyclohexasilane; cyclooctasilane; cyclopentasilane; 1,4-dioxa-2,3,5,6-tetrasilacyclohexane; diethoxymethylsilane (DEMS); diethoxysilane (DES) ; dimethoxymethylsilane; dimethoxysilane (DMOS); methyldiethoxysilane (MDES); methyldimethoxysilane (MDMS); octamethoxydodecylsiloxane (OMODDS); tert-butoxydisilane; tetramethylcyclotetrasiloxane (TMCTS); tetramethylcyclotetrasiloxane (TOMCTS); triethoxysilane (TES); triethoxysiloxane (TRIES); and trimethoxysilane (TMS or TriMOS).
在某些實施方式中,含矽前驅物可包括矽氧烷或含胺基矽氧烷。在某些實施例中,本文中使用的矽氧烷具有X(R 1) aSi-O-Si(R 2) bY之通式,其中a與b係從0至2的整數,且X與Y可獨立地為H或NR 3R 4,其中R1、R2、R3及R4的每一者為氫、無支鏈烷基、支鏈烷基、飽和雜環、不飽和雜環基團、或以上之組合。在某些實施例中,當X或Y的至少其中一者為NR 3R 4時,R3與R4與各自所附接之原子可共同形成飽和雜環化合物。在某些實施例中,含矽前驅物為含五甲基化胺基的矽氧烷或含二甲基化胺基的矽氧烷。含胺基之矽氧烷的範例包括:1-二乙胺基1,1,3,3,3,-五甲基二矽氧烷、1-二異丙胺基-1,1,3,3,3,-五甲基二矽氧烷、1-二丙胺基-1,1,3,3,3,-五甲基二矽氧烷、1-二正丁基胺基-1,1,3,3,3,-五甲基二矽氧烷、1-二仲丁基胺基-1,1,3,3,3,-五甲基二矽氧烷、1-N-甲基乙基胺基1,1,3,3,3,-五甲基二矽氧烷、1-N-甲基丙基胺基-1,1,3,3,3,-五甲基二矽氧烷、1 N-甲基丁基胺基-1,1,3,3,3,-五甲基二矽氧烷、1-叔丁基胺基-1,1,3,3,3,-五甲基二矽氧烷、1-哌啶基-1,1,3,3,3,-五甲基二矽氧烷、1-二甲基胺基-1,1-二甲基二矽氧烷、1-二乙基胺基-1,1-二甲基二矽氧烷、1-二異丙基胺基-1,1-二甲基二矽氧烷、1-二丙基胺基-1,1-二甲基二矽氧烷、1-二正丁基胺基-1,1-二甲基二矽氧烷、1-二仲丁基胺基-1,1-二甲基二矽氧烷、1-N-甲基乙基胺基-1,1-二甲基二矽氧烷、1-N 甲基丙基胺基-1,1-二甲基二矽氧烷、1-N-甲基丁基胺基-1,1-二甲基二矽氧烷、1哌啶基-1,1-二甲基二矽氧烷、1-叔丁基胺基-1,1-二甲基二矽氧烷、1-二甲胺基-二矽氧烷、1-二乙胺基-二矽氧烷、1-二異丙胺基-二矽氧烷、1-二丙胺基-二矽氧烷、1-二正丁基胺基-二矽氧烷、1-二仲丁基胺基-二矽氧烷、1-N 甲基乙基胺基-二矽氧烷、1-N-甲基丙基胺基-二矽氧烷、1-N-甲基丁基胺基-二矽氧烷、1-哌啶基-二矽氧烷、1-叔丁基胺基-二矽氧烷、及1-二甲基胺基-1,1,5,5,5,-五甲基二矽氧烷。 In some embodiments, the silicon-containing prodrug may include siloxane or amino-containing siloxane. In some embodiments, the siloxane used herein has the general formula of X(R 1 ) a Si—O—Si(R 2 ) b Y, wherein a and b are integers from 0 to 2, and X and Y may be independently H or NR 3 R 4 , wherein each of R1, R2, R3 and R4 is hydrogen, an unbranched alkyl group, a branched alkyl group, a saturated heterocyclic group, an unsaturated heterocyclic group, or a combination thereof. In some embodiments, when at least one of X or Y is NR 3 R 4 , R3 and R4 and the atoms to which they are attached may form a saturated heterocyclic compound together. In certain embodiments, the silicon-containing precursor is a pentamethylated amine-containing siloxane or a dimethylated amine-containing siloxane. Examples of amino-containing siloxanes include: 1-diethylamino-1,1,3,3,3-pentamethyldisiloxane, 1-diisopropylamino-1,1,3,3,3-pentamethyldisiloxane, 1-dipropylamino-1,1,3,3,3-pentamethyldisiloxane, 1-di-n-butylamino-1,1,3,3,3-pentamethyldisiloxane, 1-di-sec-butylamino-1,1,3,3,3-pentamethyldisiloxane, 1-N-methylethylamino-1,1,3,3,3-pentamethyldisiloxane, 1-N-methylpropylamino-1,1,3,3,3-pentamethyldisiloxane, 1 N-Methylbutylamino-1,1,3,3,3,-pentamethyldisiloxane, 1-tert-butylamino-1,1,3,3,3,-pentamethyldisiloxane, 1-piperidinyl-1,1,3,3,3,-pentamethyldisiloxane, 1-dimethylamino-1,1-dimethyldisiloxane, 1-diethylamino-1,1-dimethyldisiloxane, 1-diisopropylamino-1,1-dimethyldisiloxane, 1-dipropylamino-1,1-dimethyldisiloxane, 1-di-n-butylamino-1,1-dimethyldisiloxane, 1-di-sec-butylamino-1,1-dimethyldisiloxane, 1-N-methylethylamino-1,1-dimethyldisiloxane, 1-N Methylpropylamino-1,1-dimethyldisiloxane, 1-N-methylbutylamino-1,1-dimethyldisiloxane, 1-piperidinyl-1,1-dimethyldisiloxane, 1-tert-butylamino-1,1-dimethyldisiloxane, 1-dimethylamino-disiloxane, 1-diethylamino-disiloxane, 1-diisopropylamino-disiloxane, 1-dipropylamino-disiloxane, 1-di-n-butylamino-disiloxane, 1-di-sec-butylamino-disiloxane, 1-N- Methylethylamino-disiloxane, 1-N-methylpropylamino-disiloxane, 1-N-methylbutylamino-disiloxane, 1-piperidinyl-disiloxane, 1-tert-butylamino-disiloxane, and 1-dimethylamino-1,1,5,5,5,-pentamethyldisiloxane.
於所沉積之膜包括氧的情況下,可使用含氧反應物。含氧反應物的範例包括但不限於氧(O 2)、臭氧(O 3)、一氧化二氮(N 2O)、一氧化氮(NO)、二氧化氮(NO 2)、三氧化二氮(N 2O 3)、四氧化二氮(N 2O 4)、五氧化二氮(N 2O 5)、一氧化碳(CO)、二氧化碳(CO 2)、硫氧化物(SO)、二氧化硫(SO 2)、含氧烴(C xH yO z)、水(H 2O)、甲醛(CH 2O)、硫化羰(COS)、以上之混合物等等。 In the case where the deposited film includes oxygen, an oxygen-containing reactant may be used. Examples of oxygen-containing reactants include, but are not limited to, oxygen (O 2 ), ozone (O 3 ), nitrous oxide (N 2 O), nitric oxide (NO), nitrogen dioxide (NO 2 ), nitrogen trioxide (N 2 O 3 ), nitrogen tetroxide (N 2 O 4 ), nitrogen pentoxide (N 2 O 5 ), carbon monoxide (CO), carbon dioxide (CO 2 ), sulfur oxides (SO), sulfur dioxide (SO 2 ), oxygen-containing hydrocarbons (C x H y O z ), water (H 2 O), formaldehyde (CH 2 O), carbonyl sulfide (COS), mixtures thereof, and the like.
於所沉積之膜包括氮的情況下,可使用含氮反應物。含氮反應物包含至少一個氮,例如,氮(N 2)、氨(NH 3)、肼(N 2H 4)、胺(例如,帶有碳的胺)像是甲胺(CH 5N)、二甲胺((CH 3) 2NH)、乙胺(C 2H 5NH 2)、異丙胺(C 3H 9N)、叔丁胺(C 4H 11N)、二叔丁胺(C 8H 19N)、環丙胺(C 3H 5NH 2)、仲丁胺(C 4H 11N)、環丁胺(C 4H 7NH 2)、異戊胺(C 5H 13N)、2-甲基丁-2-胺(C 5H 13N)、三甲胺(C 3H 9N)、二異丙胺(C 6H 15N)、二乙基異丙胺(C 7H 17N)、二叔丁基肼(C 8H 20N 2),以及含芳香族的胺,例如苯胺、吡啶、及芐胺。胺可為一級、二級、三級或四級(例如,四烷基銨化合物)。含氮反應物可包含除氮以外的雜原子,舉例而言,羥胺、叔丁氧羰基胺以及N-叔丁基羥胺為含氮反應物。其他範例包括N xO y化合物,例如一氧化二氮(N 2O)、一氧化氮(NO)、二氧化氮(NO 2)、三氧化二氮(N 2O 3)、四氧化二氮(N 2O 4)及/或五氧化二氮(N 2O 5)。 設備 In cases where the deposited film includes nitrogen, nitrogen-containing reactants may be used. The nitrogen-containing reactant comprises at least one nitrogen, for example, nitrogen ( N2 ), ammonia (NH3), hydrazine ( N2H4 ), an amine (e.g., an amine with carbon) such as methylamine ( CH5N ), dimethylamine (( CH3 ) 2NH ), ethylamine (C2H5NH2), isopropylamine (C3H9N), tert -butylamine (C4H11N), di - tert -butylamine (C8H19N), cyclopropylamine (C3H5NH2), sec-butylamine ( C4H11N ) , cyclobutylamine (C4H7NH2), isoamylamine ( C5H13N ) , 2 - methylbutan - 2 - amine ( C5H13N ) , trimethylamine (C3H9N), diisopropylamine ( C6H15N ) , N), diethylisopropylamine (C 7 H 17 N), di-tert-butylhydrazine (C 8 H 20 N 2 ), and aromatic amines such as aniline, pyridine, and benzylamine. The amines can be primary, secondary, tertiary, or quaternary (e.g., tetraalkylammonium compounds). The nitrogen-containing reactant can contain impurities other than nitrogen. For example, hydroxylamine, tert-butyloxycarbonylamine, and N-tert-butylhydroxylamine are nitrogen-containing reactants. Other examples include N x O y compounds such as nitrous oxide (N 2 O), nitric oxide (NO), nitrogen dioxide (NO 2 ), nitrogen trioxide (N 2 O 3 ), nitrogen tetroxide (N 2 O 4 ) and/or nitrogen pentoxide (N 2 O 5 ). Equipment
圖7示意性地顯示可用於使用原子層沉積(ALD)及/或化學氣相沉積(CVD)沉積材料之製程站700的實施例,ALD或CVD中的任意者皆可為電漿增強的。為簡明起見,將製程站700描繪為具有用以維持低壓環境之製程腔室體702的獨立製程站。然而,應理解可將複數製程站700包括在常見的製程工具環境中。再者,應理解的是。在某些實施例中,可藉由一或更多電腦控制器750而程式化地調整包括以下詳細討論內容的製程站700之一或更多硬體參數。FIG. 7 schematically illustrates an embodiment of a
製程站700與用以輸送製程氣體至分配噴淋頭706的反應物輸送系統701流體連通。反應物輸送系統701包括用以混合及/或調節用於輸送至噴淋頭706之製程氣體的混合容器704。一或更多混合容器入口閥720可控制製程氣體至混合容器704的導入。相似地,噴淋頭入口閥705可控制製程氣體至噴淋頭706的導入。在某些實施例中,可將抑制劑或其他氣體直接輸送至腔室體702。一或更多混合容器入口閥720可控制製程氣體至混合容器704的導入。可取決於諸多操作期間中是否可開啟製程氣體、抑制氣體、或載氣來控制這些閥。在某些實施例中,可藉由使用抑制液體以及使用受熱汽化器之汽化來產生抑制氣體。The
作為範例,圖7之實施例包括汽化點703,其用於汽化待供應至混合容器704的液體反應物。在某些實施例中,汽化點703可為受熱汽化器。由如此汽化器所產生的反應物蒸氣可能在下游的輸送管路中凝結。不相容氣體曝露至凝結的反應物可能產生小微粒。這些小微粒可能阻塞管路、妨礙閥操作、污染基板等。應對這些問題的某些方法涉及淨化及/或排空輸送管路以移除殘留的反應物。然而,淨化輸送管路可能增加製程站循環時間,而降低製程站產量。因而,在某些實施例中,汽化點703下游的輸送管路可為伴熱的(heat traced)。在某些範例中,混合容器704亦可為伴熱的。在一非限制性範例中,汽化點703下游的管路在混合容器704處具有自約100°C延伸至約150°C之漸增的溫度曲線。As an example, the embodiment of Figure 7 includes a
在某些實施例中,可於液體注入器處將反應物液體汽化。例如,液體注入器可注入液體反應物之脈衝至混合容器上游的載氣氣流中。在一情境中,液體注入器可藉由使液體從較高壓力快速移動至較低壓力來汽化反應物。在另一情境中,液體注入器可將液體霧化為分散的微滴,使其隨後在受熱的輸送管路中被汽化。應理解的是,較小之液滴可能比較大之液滴汽化得更快,而縮短了在液體注入與完全汽化之間的延遲。較快的汽化可減少自汽化點703下游之管路的長度。在一情境中,可將液體注入器直接安裝至混合容器704。在另一情境中,可將液體注入器直接安裝至噴淋頭706。In certain embodiments, the reactant liquid may be vaporized at the liquid injector. For example, the liquid injector may inject a pulse of the liquid reactant into a carrier gas stream upstream of the mixing vessel. In one scenario, the liquid injector may vaporize the reactant by rapidly moving the liquid from a higher pressure to a lower pressure. In another scenario, the liquid injector may atomize the liquid into dispersed droplets that are then vaporized in a heated transport line. It should be understood that smaller droplets may vaporize faster than larger droplets, shortening the delay between liquid injection and complete vaporization. Faster vaporization may reduce the length of the pipeline downstream of the
在某些實施例中,可提供於汽化點703上游之液體流量控制器(LFC)以控制用於汽化及輸送至製程站700之液體的質量流量。例如,液體流量控制器可包括位於LFC下游的熱質量流量計(MFM)。接著可響應於由與MFM電性連通之比例-積分-微分(PID)控制器所提供的反饋控制信號,而調整LFC的柱塞閥。然而,使用反饋控制可能花費一秒或更久來使液體流量穩定。這可能延長注入液體反應物所用時間。因此,在某些實施例中,可在反饋控制模式與直接控制模式之間動態地切換LFC。在某些實施例中,此可藉由停用LFC之感測管及PID控制器而將LFC從反饋控制模式動態地切換至直接控制模式。In some embodiments, a liquid flow controller (LFC) may be provided upstream of the
噴淋頭706朝基板712分配製程氣體。於圖7所示的實施例中,基板712位於噴淋頭706下方,並且顯示為安置在台座708上。應理解噴淋頭706可具有任何合適的形狀,並且可具有任何合適數量與配置的埠口以用於分配製程氣體至基板712。The
在某些實施例中,微容積707位於噴淋頭706下方。在製程站之微容積中而非在整個容積中執行ALD及/或CVD可減少反應物曝露與淨化時間、可減少用於更改製程條件(例如,壓力、溫度等)的時間、可限制製程站機器人至製程氣體的曝露等等。示例性的微容積尺寸包括但不限於在0.1公升與2公升之間的容積。此微容積亦會影響生產產量。儘管每循環沉積速率下降,但循環時間亦同步減少。在某些案例中,後者的影響乃顯著至足以改善針對給定目標膜厚度之模組的總體產量。In some embodiments,
在某些實施例中,可上升或下降台座708,以使基板712曝露至微容積707及/或改變微容積707之容積。例如,在基板移送階段,可下降台座708以允許將基板712裝載至台座708上。於沉積製程階段期間,可上升台座708以將基板712定位於微容積707內。在某些實施例中,微容積707可完全包圍基板712以及台座708的一部分以於沉積製程期間建立高流動阻抗之區域。In some embodiments, the
可選地,可在部分沉積製程期間下降及/或上升台座708以調變微容積707內的製程壓力、反應物濃度等。在沉積製程期間製程腔室體702維持在基礎壓力下的一情境中,下降台座708可容許微容積707被排空。微容積對製程腔室容積的示例性比例包括但不限於介於1:700與1:10之間的容積比例。應理解的是,在某些實施例中,可藉由合適的電腦控制器程式化地調整台座高度。Optionally, the
在另一情境中,調整台座708之高度可容許電漿密度於包括在沉積製程中之電漿活化及/或處理循環期間有所變化。於沉積製程階段的結束之時,可在另一基板移送階段期間下降台座708,以允許基板712自台座708之移除。In another scenario, adjusting the height of the
儘管本文中所述之示例性微容積變化係指高度可調整之台座708,仍應理解的是,在某些實施例中,可調整噴淋頭706相對於台座708的位置以變化微容積707之容積。再者,應理解的是,在本揭示內容的範疇內可藉由任何合適當的機構來變化台座708及/或噴淋頭706的垂直位置。在某些實施例中,台座708可包括用以旋轉基板 712之位向的旋轉軸。應理解的是,在某些實施例中,可藉由一或更多合適的電腦控制器而程式化地執行此些示例性調整的一或多者。Although the exemplary micro-volume variations described herein refer to a height-
回到圖7中所示的實施例,噴淋頭706與台座708係與用以對電漿供給能量的RF功率源714及匹配網路716電連通。在某些實施例中,可藉由控制製程站壓力、氣體濃度、RF源功率、RF源頻率、及電漿功率脈衝時間中的一或多者而控制電漿能量。例如,可在任何合適的功率下操作RF功率源714與匹配網路716以形成具有所需自由基物種之組成的電漿。以上已包括了合適功率的範例。相似地,RF功率源714可提供任何合適頻率的RF功率。在某些實施例中,可將RF功率源714配置以控制彼此獨立的高頻與低頻RF功率源。示例性的低頻RF頻率可包括但不限於介於50 kHz與700 kHz之間的頻率。示例性的高頻RF頻率可包括但不限於介於1.8 MHz與2.45 GHz之間的頻率。應理解的是,可離散地或連續地調變任何適當的參數以提供用於表面反應的電漿能量。在一非限制性的範例中,相對於連續供能的電漿,可間歇地脈衝電漿功率以降低對基板表面的離子轟擊。Returning to the embodiment shown in FIG. 7 , the
在某些實施例中,可藉由一或更多電漿監測器原位監測電漿。在一情境中,可藉由一或更多電壓、電流感測器(例如VI探針)監測電漿功率。在另一情境中,可藉由一或更多光發射光譜感測器(OES)量測電漿密度及/或製程氣體濃度。在某些實施例中,可基於來自如此原位電漿監測器的量測而程式化地調整一或更多電漿參數。例如,可在用於提供電漿功率之程式化控制的反饋迴路中使用OES感測器。應理解的是,在某些實施例中,可使用其他監測器監測電漿與其他製程特徵。如此監測器可包括但不限於紅外線(IR)監測器、聲學監測器、及壓力傳感器。In some embodiments, the plasma may be monitored in situ by one or more plasma monitors. In one scenario, the plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, the plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of the plasma power. It should be understood that in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure sensors.
在某些實施例中,可經由輸入/輸出控制(IOC)序列指令控制電漿。在一範例中,用於設定電漿製程階段之電漿條件的指令可被包括在沉積製程配方的對應電漿活化配方階段中。在某些情況下,可序列地配置製程配方階段,使得沉積製程階段的所有指令係與該製程階段同步執行。在某些實施例中,可將用於設定一或更多電漿參數的指令包括在電漿製程階段之前的配方階段中。例如,第一配方階段可包括用以設定惰性及/或反應物氣體之流率的指令、用以設定電漿產生器至功率設定點的指令、及第一配方階段用的時間延遲指令。接續的第二配方階段可包括用以實行電漿產生器的指令、及第二配方階段用的時間延遲指令。第三配方階段可包括用以停用電漿產生器的指令以及第三配方階段用的時間延遲指令。應理解的是,可在本揭示內容之範疇內以任何合適的方式進一步地分割及/或迭代此些配方階段。In some embodiments, the plasma may be controlled via input/output control (IOC) sequence instructions. In one example, instructions for setting plasma conditions for a plasma process phase may be included in a corresponding plasma activation recipe phase of a deposition process recipe. In some cases, the process recipe phases may be configured sequentially so that all instructions for a deposition process phase are executed synchronously with that process phase. In some embodiments, instructions for setting one or more plasma parameters may be included in a recipe phase prior to the plasma process phase. For example, a first recipe phase may include instructions for setting the flow rate of an inert and/or reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe phase. The subsequent second recipe phase may include instructions for executing the plasma generator and time delay instructions for the second recipe phase. The third recipe phase may include instructions for deactivating the plasma generator and time delay instructions for the third recipe phase. It should be understood that these recipe phases may be further divided and/or iterated in any suitable manner within the scope of the present disclosure.
在某些沉積製程中,電漿衝擊持續約數秒或更長的持續時間。在某些實施方式中,可使用較短得多的電漿衝擊。這些時間可為10毫秒至1秒,通常約20至80毫秒,具體範例為50毫秒。如此非常短的RF電漿衝擊需要極快速的電漿穩定。為達此目的,可配置電漿產生器使得阻抗匹配係預設至特定電壓,而允許頻率浮動。習知的高頻電漿係在約13.56 MHz的RF頻率下產生的。在本文揭示的諸多實施例中,允許頻率浮動至與此標準值不同的值。藉由允許頻率浮動同時固定阻抗匹配至預定電壓,電漿可更快速地穩定下來,當使用關聯於某些型式之沉積循環的非常短電漿衝擊時,此結果可能是重要的。In some deposition processes, the plasma impulse lasts for a duration of about a few seconds or longer. In some embodiments, much shorter plasma impulses may be used. These times may be from 10 milliseconds to 1 second, typically about 20 to 80 milliseconds, and a specific example is 50 milliseconds. Such very short RF plasma impulses require extremely fast plasma stabilization. To achieve this goal, the plasma generator can be configured so that the impedance match is preset to a specific voltage, while the frequency is allowed to float. Known high frequency plasmas are generated at an RF frequency of about 13.56 MHz. In many embodiments disclosed herein, the frequency is allowed to float to values different from this standard value. By allowing the frequency to float while fixing the impedance match to a predetermined voltage, the plasma can settle more quickly, a result that can be important when using very short plasma bursts associated with certain types of deposition cycles.
在某些實施例中,可經由加熱器710控制台座708溫度。再者,於某些實施例中,沉積製程站700的壓力控制可藉由蝶閥718來提供。如圖7中的實施例所示,蝶閥718節流由下游真空泵(未顯示)所提供的真空。然而,在某些實施例中, 製程站700的壓力控制亦可藉由變化導入製程站700之一或更多氣體的流率來加以調整。In some embodiments, the temperature of the table 708 can be controlled via a
圖8為依據某些實施例之適用於執行薄膜沉積製程之處理系統的方塊圖。系統800包括傳輸模組803。傳輸模組803提供乾淨、加壓的環境以最小化當受處理基板在諸多反應器模組之間移動時基板之汙染的風險。安裝於傳輸模組803上的係兩個多站反應器809及810,其各自能夠依據某些實施例執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。反應器809及810可包括可依據所揭示實施例序列地或非序列地執行操作的多個站點811、813、815、及817。這些站點可包括加熱的台座或基板支架、一或更多氣體入口或噴淋頭或分散板。FIG8 is a block diagram of a processing system suitable for performing thin film deposition processes according to certain embodiments.
亦安裝於傳輸模組803上的可為一或更多能夠執行電漿或化學(非電漿)預清洗、或關於所揭示方法所述之任何其他製程的單一或多站模組807。模組807可在某些情況下用於諸多處理以例如準備用於沉積製程的基板。亦可將模組807設計/配置以執行諸多其他製程,例如蝕刻或拋光。系統800亦包括一或更多晶圓源模組801,其係晶圓於處理之前和之後的儲存處。在大氣傳輸腔室819中的大氣機器人(未顯示)首先可將晶圓從源模組801中移出至裝載鎖821。傳輸模組803中的晶圓傳輸裝置(通常為機器人手臂單元)將晶圓從裝載鎖821移動至安裝於傳輸模組803上的模組之中。Also mounted on the
在諸多實施例中,採用系統控制器829以控制沉積期間的製程條件。控制器829通常會包括一或更多記憶體裝置及一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。In many embodiments, a
控制器829可控制沉積設備的所有活動。系統控制器829執行系統控制軟體,包括用於控制時序、氣體之混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤或台座位置、及特定製程之其他參數之指令的設定。在某些實施例中可採用儲存在關聯於控制器829之記憶體裝置上的其他電腦程式。The
通常會存在與控制器829相關聯的使用者介面。使用者介面可包括顯示螢幕、設備及/或製程條件之圖形軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等。There is typically a user interface associated with the
可以任何合適的方式配置系統控制邏輯。一般而言,可以硬體及/或軟體方式設計或配置邏輯。可將用於控制驅動電路的指令硬編碼或提供為軟體。可藉由「程式化」提供指令。將如此程式化理解為包括任何形式的邏輯,包括在數位訊號處理器、應用特定積體電路、及具有實施為硬體之特定演算法的其他裝置中的硬編碼邏輯。亦將程式化理解為包括可在通用處理器上執行的軟體或韌體指令。可以任何合適的電腦可讀程式語言將系統控制軟體予以編碼。The system control logic may be configured in any suitable manner. In general, the logic may be designed or configured in hardware and/or software. Instructions for controlling the drive circuits may be hard-coded or provided as software. Instructions may be provided by "programming." Such programming is understood to include any form of logic, including hard-coded logic in digital signal processors, application specific integrated circuits, and other devices having specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that can be executed on a general purpose processor. The system control software may be coded in any suitable computer readable programming language.
可用任何習知的電腦可讀程式語言撰寫用於控制抑制物種流量、RF功率、氫流量、氧流量、及含矽前驅物流量、以及製程序列中其他製程的電腦程式碼:例如,組合語言、C、C++、Pascal、Fortran、或其它程式語言。編譯後的目標碼或腳本係藉由處理器執行以進行程式中所識別的任務。亦如同所指明的,程式碼可為硬編碼的。Computer program code for controlling the inhibitory species flow, RF power, hydrogen flow, oxygen flow, and silicon-containing precursor flow, as well as other processes in a process sequence, may be written in any known computer-readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or other programming languages. The compiled object code or script is executed by a processor to perform the tasks identified in the program. As also indicated, the program code may be hard-coded.
控制器參數與製程條件有關,舉例來說,製程條件例如為製程氣體成分及流率、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室牆溫度。將這些參數以配方的形式提供給使用者並可利用使用者介面輸入這些參數。可藉由系統控制器829的類比及/或數位輸入連接而提供用於監測製程的訊號。於沉積設備800的類比及數位輸出連接上輸出用於控制製程的訊號。Controller parameters are related to process conditions such as, for example, process gas composition and flow rate, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of recipes and can be input using a user interface. Signals for monitoring the process can be provided via analog and/or digital input connections of the
可用許多不同方式設計或配置系統軟體。例如,可編寫諸多腔室組件次常式或控制物件以控制依據所揭示實施例執行沉積製程(以及在某些情況下的其他製程)所需的腔室組件之操作。針對此目的之程式或程式片段的範例包括基板定位編碼、製程氣體控制編碼、壓力控制編碼、及加熱器控制編碼。System software may be designed or configured in many different ways. For example, a number of chamber component subroutines or control objects may be written to control the operation of chamber components required to perform deposition processes (and in some cases other processes) in accordance with the disclosed embodiments. Examples of programs or program segments for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.
在某些實施方式中,例如控制器750或829的控制器為系統的一部分,而系統可為上述範例的一部分。如此系統可包括半導體處理設備,其包括一或複數處理工具、一或複數腔室、用於處理的一或複數平台、及/或特定的處理組件(晶圓台座、氣流系統等)。此些系統可與電子元件整合在一起,以在半導體晶圓或基板的處理之前、期間及之後控制它們的操作。可將電子元件稱為「控制器」,其可控制一或複數系統的諸多組件或子部件。取決於系統的處理條件及/或型式,可將控制器829程式化以控制任何本文所揭示的製程,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、在某些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳送進出工具和其他傳送工具及/或連接到特定系統或與特定系統介接的裝載鎖。In some embodiments, a controller, such as
廣義而言,可將控制器定義為接收指令、發出指令、控制操作、實行清潔操作、實行終點量測等等之具有諸多積體電路、邏輯、記憶體、及/或軟體的電子元件。積體電路可包括儲存程式指令之韌體形式的晶片、數位訊號處理器(DSPs)、定義成特殊應用積體電路(ASICs)的晶片、及/或一或更多微處理器、或執行程式指令(例如軟體)的微控制器。程式指令可為以諸多個別設定(或程式檔案)的形式傳送到控制器而定義在半導體晶圓上或對半導體晶圓或對系統執行特定製程之操作參數的指令。在某些實施例中,操作參數可為由製程工程師定義之配方的一部分,以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間完成一或更多處理步驟。Broadly speaking, a controller may be defined as an electronic component having integrated circuits, logic, memory, and/or software that receives commands, issues commands, controls operations, performs cleaning operations, performs end-point measurements, and so forth. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors or microcontrollers that execute program instructions (e.g., software). The program instructions may be instructions that are sent to the controller in the form of individual settings (or program files) that define operating parameters for executing a particular process on a semiconductor wafer or to a semiconductor wafer or to a system. In some embodiments, the operating parameters may be part of a recipe defined by a process engineer to perform one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or die of a wafer.
在某些實施方式中,控制器可為電腦的一部分或耦接至電腦,而電腦與系統整合、耦接至系統、以其他方式網路連接至系統、或為上述之組合。例如,控制器可位在「雲端」或工廠主機電腦系統的全部或一部分中,而可容許晶圓處理的遠端存取。電腦可實行對系統的遠端存取,以監控製造操作的當前進度、審視過去製造操作的歷史、從複數製造操作中審視趨勢或效能指標,以改變當前處理的參數、將處理步驟設定成依循當前處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,使用者介面實行參數及/或設定的輸入或程式化,然後將參數及/或設定從遠端電腦傳送至系統。在某些範例中,控制器接收資料形式的指令,其用於在一或更多操作期間為待執行之每一處理步驟指定參數。應理解的是,參數可專用於待執行之製程的型式以及控制器係配置成與之介面接合或加以控制之工具的型式。因而如上所述,控制器可為分散式的,例如藉由包含以網路連結在一起且朝向例如本文所述之製程與控制的共同目的而運作的一或更多分散式控制器。用於如此目的之分散式控制器的範例可為腔室上的一或更多積體電路,其與位在遠端(例如位於平台層或作為遠端電腦的一部分)且結合以控制腔室上製程的一或更多積體電路通信。In some embodiments, the controller may be part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be located in the "cloud" or in all or part of a factory host computer system to allow remote access to wafer processing. The computer may enable remote access to the system to monitor the current progress of manufacturing operations, review the history of past manufacturing operations, review trends or performance indicators from multiple manufacturing operations to change parameters of the current process, set processing steps to follow the current process, or start a new process. In some examples, a remote computer (e.g., a server) may provide process recipes to the system via a network, which may include a local area network or the Internet. The remote computer may include a user interface that implements the input or programming of parameters and/or settings, which are then transmitted from the remote computer to the system. In some examples, the controller receives instructions in the form of data that are used to specify parameters for each processing step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controller may be distributed, such as by including one or more distributed controllers that are networked together and operate toward a common purpose such as the processes and controls described herein. An example of a distributed controller used for such purposes may be one or more integrated circuits on the chamber that communicate with one or more integrated circuits located remotely (e.g., on a stage level or as part of a remote computer) and that combine to control the processes on the chamber.
在不構成限制的情況下,示例性的系統可包括電漿蝕刻腔室或模組、沉積腔室或膜組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、循跡腔室或模組、以及可關聯於或用於半導體晶圓之製造及/或生產的任何其他半導體處理系統。Without limitation, exemplary systems may include plasma etching chambers or modules, deposition chambers or films, spin cleaning chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etching chambers or modules, physical vapor deposition (PVD) chambers or modules, chemical vapor deposition (CVD) chambers or modules, atomic layer deposition (ALD) chambers or modules, atomic layer etching (ALE) chambers or modules, ion implantation chambers or modules, tracking chambers or modules, and any other semiconductor processing system that may be associated with or used in the manufacture and/or production of semiconductor wafers.
如以上所提及的,取決於待由工具執行的一或多製程步驟,控制器可與其他工具電路或模組、其他工具組件、群集工具、其他工具介面、鄰近的工具、相鄰的工具、位在工廠各處的工具、主電腦、另一控制器、或用於材料傳送而將晶圓之容器帶進或帶出半導體製造工廠中之工具位置及/或裝載埠的工具之中的一或更多者通信。As mentioned above, depending on the one or more process steps to be performed by the tool, the controller may communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout the factory, a host computer, another controller, or tools used for material transfer to bring containers of wafers into or out of tool locations and/or load ports in a semiconductor manufacturing facility.
可理解的是,例如圖9中所示,在多站處理工具環境中可包括複數製程站,圖9描繪多站處理工具之環境的示意圖。處理設備900採用包括多個製造製程站的積體電路製造腔室963,製造製程站中的每一者可用於執行於特定製程站之晶圓夾持具中夾持之基板上的處理操作,晶圓夾持具例如為台座。在圖9的實施例中,將積體電路製造腔室963顯示為具有四個製程站951、952、953、及954。其他相似的多站處理設備可取決於實施方式而具有較多或較少的製程站,並且例如取決於所需的平行晶圓處理之位準、尺寸/空間限制、成本限制等。亦在圖9中顯示可在系統控制器990之控制下操作的基板搬運機器人975,其係配置以將基板從裝載埠980中的晶圓匣(於圖9中未顯示)移出並移至積體電路製造腔室963中,並移至製程站951、952、953、及954的其中一者上。It will be appreciated that a plurality of process stations may be included in a multi-station processing tool environment, such as shown in FIG. 9 , which depicts a schematic diagram of the environment of a multi-station processing tool.
圖9亦描繪用以控制處理設備900之製程條件及硬體狀態的系統控制器990之實施例。系統控制器990可包括如本文所述的一或更多記憶體裝置、一或更多大容量儲存裝置、及一或更多處理器。9 also depicts an embodiment of a
RF子系統995可產生並經由射頻輸入埠967傳遞RF功率至積體電路製造腔室963。在特定的實施例中,積體電路製造腔室963可包含除了射頻輸入埠967以外的輸入埠(圖9中未顯示額外的輸入埠)。據此,積體電路製造腔室963可利用8個RF輸入埠。在特定的實施例中,積體電路製造腔室963的製程站951至954可各自利用第一及第二輸入埠,其中第一輸入埠可傳遞具有第一頻率的訊號且其中第二輸入埠可傳遞具有第二頻率的訊號。雙頻率的使用可產生增強的電漿特性。The RF subsystem 995 may generate and deliver RF power to the integrated
如上所述,在多站處理工具中可包括一或更多製程站。圖10顯示多站處理工具1000之實施例的示意圖,多站處理工具1000具有其中一或兩者可包含遠端電漿源的入站裝載鎖1002與出站裝載鎖1004。處於大氣壓力下的機器人1006係配置以將基板或晶圓從透過艙室1008裝載的匣盒中經由大氣埠移動至入站裝載鎖1002中。藉由機器人1006將基板放置於入站裝載鎖1002中的台座1012上,關閉大氣埠,並且將裝載鎖泵回。在入站裝載鎖1002包含遠端電漿源的情況下,可在將基板導入處理腔室1014之前將基板曝露至裝載鎖中的遠端電漿處理。再者,亦還可在入站裝載鎖1002中加熱基板,以例如移除濕氣及所吸附的氣體。接著,將通至處理腔室1014的腔室傳送埠1016開啟,且另一機器人1090將基板放入反應器內、放置於反應器內所示之第一站的台座上以進行處理。儘管圖9中描繪的實施例包括裝載鎖,仍將理解的是,在某些實施例中,可提供基板至製程站內的直接進入。在諸多實施例中,當藉由機器人1006將基板放置於台座1012上時,將浸氣導入站點中。As described above, one or more process stations may be included in a multi-station processing tool. FIG. 10 shows a schematic diagram of an embodiment of a multi-station processing tool 1000 having an inbound load lock 1002 and an outbound load lock 1004, one or both of which may include a remote plasma source. A robot 1006 under atmospheric pressure is configured to move substrates or wafers from a cassette loaded through a chamber 1008 into the inbound load lock 1002 through an atmospheric port. The substrate is placed on a pedestal 1012 in the inbound load lock 1002 by the robot 1006, the atmospheric port is closed, and the load lock is pumped back. In the case where the inbound load lock 1002 includes a remote plasma source, the substrate may be exposed to remote plasma treatment in the load lock prior to introduction into the processing chamber 1014. Further, the substrate may also be heated in the inbound load lock 1002, for example to remove moisture and adsorbed gases. Next, a chamber transfer port 1016 leading to the processing chamber 1014 is opened, and another robot 1090 places the substrate into the reactor, placing it on a pedestal at the first station shown in the reactor for processing. Although the embodiment depicted in FIG. 9 includes a load lock, it will be understood that in some embodiments, direct access of the substrate into the process station may be provided. In many embodiments, when the substrate is placed on the pedestal 1012 by the robot 1006, an immersion gas is introduced into the station.
所描繪的處理腔室1014包含四個製程站,在圖10所示的實施例中編號為1至4。各站具有加熱台座(顯示在站1的1018)、及氣體管線入口。將可理解的是,在某些實施例中,每一製程站可具有不同的或多重的目的。例如,在某些實施例中,製程站可在抑制電漿、鈍化電漿、ALD及/或PEALD製程模式之間為可切換的。額外地或可替代地,在某些實施例中,處理腔室1014可包括一或更多匹配成對的ALD與電漿增強ALD製程站。儘管所描繪的處理腔室1014包含四個站,仍將理解的是根據本揭示內容的處理腔室可具有任何合適數量的站。例如,在某些實施例中,處理腔室可具有五個或更多的站,然而在其他實施例中,處理腔室可具有三個或更少的站。The depicted processing chamber 1014 includes four process stations, numbered 1 through 4 in the embodiment shown in FIG. 10 . Each station has a heating pedestal (shown as 1018 at station 1), and a gas line inlet. It will be appreciated that in certain embodiments, each process station may have different or multiple purposes. For example, in certain embodiments, a process station may be switchable between suppressed plasma, passivating plasma, ALD, and/or PEALD process modes. Additionally or alternatively, in certain embodiments, the processing chamber 1014 may include one or more matched pairs of ALD and plasma enhanced ALD process stations. Although the depicted processing chamber 1014 includes four stations, it will be appreciated that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments, a processing chamber may have three or fewer stations.
圖10描繪在處理腔室1014內用於傳送基板之晶圓搬運系統1090的實施例。在某些實施例中,晶圓搬運系統1090可在諸多製程站之間及/或在製程站與裝載鎖之間傳送基板。將理解的是,可採用任何合適的晶圓搬運系統。非限制性的範例包括晶圓轉盤與晶圓搬運機器人。圖10亦描繪用以控制處理工具1000之製程條件與硬體狀態的系統控制器1050的實施例。系統控制器1050可包括一或更多記憶體裝置1056、一或更多大容量儲存裝置1054、及一或更多處理器1052。處理器1052可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。在某些實施例中,系統控制器1050包括用於執行例如本文中所述之操作的機器可讀指令。FIG. 10 depicts an embodiment of a wafer handling system 1090 for transferring substrates within a processing chamber 1014. In some embodiments, the wafer handling system 1090 may transfer substrates between multiple process stations and/or between a process station and a load lock. It will be understood that any suitable wafer handling system may be employed. Non-limiting examples include a wafer turntable and a wafer handling robot. FIG. 10 also depicts an embodiment of a system controller 1050 for controlling process conditions and hardware states of the processing tool 1000. The system controller 1050 may include one or more memory devices 1056, one or more mass storage devices 1054, and one or more processors 1052. The processor 1052 may include a CPU or computer, analog and/or digital input/output connections, a stepper motor controller board, etc. In some embodiments, the system controller 1050 includes machine-readable instructions for performing operations such as those described herein.
在某些實施例中,系統控制器1050控制處理工具1000的活動。系統控制器1050執行儲存在大容量儲存裝置1054中、載入記憶體裝置1056中、並在處理器1052上執行的系統控制軟體1058。可替代地,可將控制邏輯硬編碼在控制器1050中。可針對這些目的使用應用特定積體電路、可程式邏輯裝置(例如,現場可程式閘陣列、或FPGA)等等。在以下討論內容中,無論在何處使用「軟體」或「編碼」,皆可在其位置中使用功能可比的硬編碼邏輯。系統控制軟體1058可包括用以控制由處理工具1000執行的特定製程之時序、氣體混合物、氣流量、腔室及/或站壓力、腔室及/或站溫度、基板溫度、目標功率位準、RF功率位準、基板台座、卡盤及/或載座位置、及其他參數之指令。可以任何合適的方式來配置系統控制軟體1058。例如,可編寫諸多製程工具組件次常式或控制物件以控制用以進行諸多處理工具製程之製程工具組件的操作。可以任何合適的電腦可讀程式語言將系統控制軟體1058予以編碼。 結論 In certain embodiments, a system controller 1050 controls the activities of the processing tool 1000. The system controller 1050 executes system control software 1058 stored in a mass storage device 1054, loaded into a memory device 1056, and executed on a processor 1052. Alternatively, the control logic may be hard-coded in the controller 1050. Application specific integrated circuits, programmable logic devices (e.g., field programmable gate arrays, or FPGAs), etc. may be used for these purposes. In the following discussion, wherever "software" or "code" is used, functionally comparable hard-coded logic may be used in its place. The system control software 1058 may include instructions for controlling the timing, gas mixtures, gas flow rates, chamber and/or station pressures, chamber and/or station temperatures, substrate temperatures, target power levels, RF power levels, substrate stages, chuck and/or carrier positions, and other parameters of a particular process performed by the processing tool 1000. The system control software 1058 may be configured in any suitable manner. For example, a plurality of process tool component subroutines or control objects may be written to control the operation of process tool components used to perform a plurality of processing tool processes. The system control software 1058 may be coded in any suitable computer readable programming language. Conclusion
儘管為達理解之明確性目的而描述了前述實施例的某些細節,但將顯見可在隨附申請專利範圍的範疇內實踐某些變化及修改。可在不具有某些或全部的此些具體細節的情況下實踐本文所揭示的實施例。在其他情況下,為避免對於所揭示實施例不必要地造成混淆而未詳細描述眾所周知的製程操作。再者,儘管將結合具體實施方式描述所揭示的實施例,仍應理解所述具體實施方式並非旨在限制所揭示的實施例。應注意的是,存在許多實施本案實施例之製程、系統、及設備的替代方式。據此,應將本案實施例視為說明性的而非限制性的,且所述實施例並不受限於本文中給定的細節。Although certain details of the foregoing embodiments have been described for the purpose of clarity of understanding, it will be apparent that certain variations and modifications may be practiced within the scope of the accompanying claims. The embodiments disclosed herein may be practiced without some or all of these specific details. In other cases, well-known process operations are not described in detail to avoid unnecessary confusion with the disclosed embodiments. Furthermore, although the disclosed embodiments will be described in conjunction with specific embodiments, it should be understood that the specific embodiments are not intended to limit the disclosed embodiments. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments should be considered illustrative rather than restrictive, and the embodiments are not limited to the details given herein.
101,105:步驟 200a,200b,200c,200d:結構 201,203,205,207,211,213,215,217:階段 202:孔隙 204a-1,204a-2,204b,204c-1,204c-2,204d:抑制有效深度(IED)線 206:間隙 208a,208b,208c,208d:凹入特徵部 210a,210b,210c,210d:介電材料 302,304,308,310,311,312,313,314,316,318:操作 401:反應物輸送系統 402a,402b,402c,402d:站 405a,405b,405c,405d:噴淋頭入口閥 406a,406b,406c,406d:噴淋頭 407a,407b,407c,407d,409c,409d:介電材料 411:目標填充深度 700:製程站 701:反應物輸送系統 702:製程腔室體 703:汽化點 704:混合容器 705:噴淋頭入口閥 706:噴淋頭 707:微容積 708:台座 710:加熱器 712:基板 714:RF功率源 716:匹配網路 718:蝶閥 720:混合容器入口閥 750:電腦控制器 800:系統 801:晶圓源模組 803:傳輸模組 807:單一或多站模組 809,810:多站反應器 811,813,815,817:站點 819:大氣傳輸腔室 821:裝載鎖 829:系統控制器 900:處理設備 951,952,953,954:製程站 963:積體電路製造腔室 967:射頻輸入埠 975:基板搬運機器人 980:裝載埠 990:系統控制器 995:RF子系統 1000:多站處理工具 1002:入站裝載鎖 1004:出站裝載鎖 1006:機器人 1008:艙室 1012:台座 1014:處理腔室 1016:腔室傳送埠 1018:加熱台座 1050:系統控制器 1052:處理器 1054:大容量儲存裝置 1056:記憶體裝置 1058:系統控制軟體 1090:晶圓搬運系統(機器人) 101,105: Steps 200a,200b,200c,200d: Structures 201,203,205,207,211,213,215,217: Stages 202: Apertures 204a-1,204a-2,204b,204c-1,204c-2,204d: Inhibition Effective Depth (IED) Lines 206: Gap 208a,208b,208c,208d: Recessed Features 210a,210b,210c,210d: Dielectric Materials 302,304,308,310,311,312,313,314,316,318: Operations 401: Reactant delivery system 402a,402b,402c,402d: Station 405a,405b,405c,405d: Shower head inlet valve 406a,406b,406c,406d: Shower head 407a,407b,407c,407d,409c,409d: Dielectric material 411: Target fill depth 700: Process station 701: Reactant delivery system 702: Process chamber body 703: Vaporization point 704: Mixing vessel 705: Shower head inlet valve 706: Shower head 707: Micro volume 708: Base 710: Heater 712: Substrate 714: RF power source 716: Matching network 718: Butterfly valve 720: Mixing container inlet valve 750: Computer controller 800: System 801: Wafer source module 803: Transfer module 807: Single or multi-station module 809,810: Multi-station reactor 811,813,815,817: Station 819: Atmosphere transfer chamber 821: Load lock 829: System controller 900: Processing equipment 951,952,953,954: Processing station 963: IC manufacturing chamber 967: RF input port 975: Substrate handling robot 980: Loading port 990: System controller 995: RF subsystem 1000: Multi-station processing tool 1002: Inbound load lock 1004: Outbound load lock 1006: Robot 1008: Chamber 1012: Pedestal 1014: Processing chamber 1016: Chamber transfer port 1018: Heating pedestal 1050: System controller 1052: Processor 1054: Mass storage device 1056: Memory device 1058: System control software 1090: Wafer handling system (robot)
圖1呈現用於一示例性實施例之操作的流程圖。FIG. 1 presents a flow chart of the operation for an exemplary embodiment.
圖2A至2D呈現用以減少特徵部中孔隙形成之示例性實施例的繪圖。2A-2D present drawings of exemplary embodiments for reducing void formation in features.
圖3呈現用於一示例性實施例之操作的流程圖。FIG3 presents a flow chart of the operation for an exemplary embodiment.
圖4A至4B呈現依據本文諸多實施例之腔室間之間隙填充變異的示例性繪圖。4A-4B present exemplary graphs of gap fill variation between chambers according to various embodiments herein.
圖5呈現用於原子層沉積製程之操作的流程圖。FIG. 5 presents a flow chart of operations for an atomic layer deposition process.
圖6呈現用於一示例性實施例之操作的流程圖。FIG. 6 presents a flow chart of the operation for an exemplary embodiment.
圖7至圖10係用以執行依據所揭示實施例之方法的製程腔室之範例的示意圖。7-10 are schematic diagrams of examples of processing chambers for performing methods according to disclosed embodiments.
200a:結構 200a:Structure
201,203:階段 201,203: Stage
202:孔隙 202: Porosity
204a-1,204a-2:抑制有效深度(IED)線 204a-1,204a-2: Inhibition Effective Depth (IED) Line
206:間隙 206: Gap
208a,208b:凹入特徵部 208a, 208b: Concave feature part
210a:介電材料 210a: Dielectric materials
Claims (21)
Applications Claiming Priority (4)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US202263382880P | 2022-11-08 | 2022-11-08 | |
| US63/382,880 | 2022-11-08 | ||
| US202263383679P | 2022-11-14 | 2022-11-14 | |
| US63/383,679 | 2022-11-14 |
Publications (1)
| Publication Number | Publication Date |
|---|---|
| TW202436660A true TW202436660A (en) | 2024-09-16 |
Family
ID=91033462
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| TW112142814A TW202436660A (en) | 2022-11-08 | 2023-11-07 | A robust icefill method to provide void free trench fill for logic and memory applications |
Country Status (4)
| Country | Link |
|---|---|
| KR (1) | KR20250107877A (en) |
| CN (1) | CN120188261A (en) |
| TW (1) | TW202436660A (en) |
| WO (1) | WO2024102763A1 (en) |
Family Cites Families (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US11437269B2 (en) * | 2012-03-27 | 2022-09-06 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
| US9425078B2 (en) * | 2014-02-26 | 2016-08-23 | Lam Research Corporation | Inhibitor plasma mediated atomic layer deposition for seamless feature fill |
| US11028477B2 (en) * | 2015-10-23 | 2021-06-08 | Applied Materials, Inc. | Bottom-up gap-fill by surface poisoning treatment |
| KR20220162166A (en) * | 2020-04-01 | 2022-12-07 | 램 리써치 코포레이션 | Seam relief and integrated liner during gap filling |
| KR102417431B1 (en) * | 2021-06-28 | 2022-07-06 | 주식회사 한화 | Substrate processing device and method with inhibiting void or seam |
-
2023
- 2023-11-07 WO PCT/US2023/078999 patent/WO2024102763A1/en not_active Ceased
- 2023-11-07 TW TW112142814A patent/TW202436660A/en unknown
- 2023-11-07 CN CN202380077993.XA patent/CN120188261A/en active Pending
- 2023-11-07 KR KR1020257018755A patent/KR20250107877A/en active Pending
Also Published As
| Publication number | Publication date |
|---|---|
| CN120188261A (en) | 2025-06-20 |
| KR20250107877A (en) | 2025-07-14 |
| WO2024102763A1 (en) | 2024-05-16 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| TWI897943B (en) | Seam mitigation and integrated liner for gap fill | |
| US12431349B2 (en) | In-situ control of film properties during atomic layer deposition | |
| US20250014893A1 (en) | Atomic layer deposition seam reduction | |
| US20230154754A1 (en) | Loss prevention during atomic layer deposition | |
| JP2025501497A (en) | Atomic Layer Deposition Pulse Sequence Engineering for Enhanced Conformality of Low-Temperature Precursors | |
| US12417943B2 (en) | Reducing intralevel capacitance in semiconductor devices | |
| US20250154644A1 (en) | High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer | |
| US20250062118A1 (en) | High pressure plasma inhibition | |
| US20250179632A1 (en) | Surface inhibition atomic layer deposition | |
| TW202436660A (en) | A robust icefill method to provide void free trench fill for logic and memory applications | |
| US20250054752A1 (en) | Method to smooth sidewall roughness and maintain reentrant structures during dielectric gap fill | |
| WO2024254272A1 (en) | Methods to provide void free trench fill for logic and memory applications | |
| WO2024091844A1 (en) | Fluorine reduction is silicon-containing films | |
| US20250207246A1 (en) | Reducing capacitance in semiconductor devices | |
| CN118715592A (en) | High pressure inert oxidation and in-situ annealing treatments for improved membrane seam quality and WER | |
| TW202409322A (en) | Lateral gap fill |