KR20230029911A - Methods for Creating High Density Doped Carbon Films for Hardmask and Other Patterning Applications - Google Patents
Methods for Creating High Density Doped Carbon Films for Hardmask and Other Patterning Applications Download PDFInfo
- Publication number
- KR20230029911A KR20230029911A KR1020237003023A KR20237003023A KR20230029911A KR 20230029911 A KR20230029911 A KR 20230029911A KR 1020237003023 A KR1020237003023 A KR 1020237003023A KR 20237003023 A KR20237003023 A KR 20237003023A KR 20230029911 A KR20230029911 A KR 20230029911A
- Authority
- KR
- South Korea
- Prior art keywords
- substrate
- atomic
- carbon film
- doped
- electrostatic chuck
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Ceased
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/26—Deposition of carbon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Weting (AREA)
Abstract
본 개시내용의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은 패터닝 애플리케이션들을 위한 고밀도 막들을 증착하기 위한 기법들을 제공한다. 하나 이상의 실시예들에서, 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 볼륨 내로 탄화수소 화합물 및 도펀트 화합물을 함유하는 증착 가스를 유동시키는 단계 ― 프로세싱 볼륨은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지됨 ―를 포함하는 기판을 프로세싱하는 방법이 제공된다. 방법은 또한, 기판 상에 도핑된 다이아몬드형 탄소 막을 증착하기 위해, 정전 척에 제1 RF 바이어스를 인가함으로써 기판에 플라즈마를 생성하는 단계 ― 도핑된 다이아몬드형 탄소 막은 2 g/cc 초과의 밀도 및 -500 MPa 미만의 응력을 가짐 ―를 포함한다.Embodiments of the present disclosure relate generally to the fabrication of integrated circuits. More specifically, the embodiments described herein provide techniques for depositing high-density films for patterning applications. In one or more embodiments, flowing a deposition gas containing a hydrocarbon compound and a dopant compound into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck - the processing volume at a pressure between about 0.5 mTorr and about 10 Torr. A method of processing a substrate comprising a retained - is provided. The method also includes generating a plasma on the substrate by applying a first RF bias to an electrostatic chuck to deposit a doped diamond-like carbon film on the substrate, wherein the doped diamond-like carbon film has a density greater than 2 g/cc and - Has a stress of less than 500 MPa.
Description
[0001] 본 개시내용의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 더 구체적으로, 본원에서 설명된 실시예들은 패터닝 애플리케이션들을 위한 고밀도 막들의 증착을 위한 기법들을 제공한다.[0001] Embodiments of the present disclosure relate generally to the fabrication of integrated circuits. More specifically, embodiments described herein provide techniques for deposition of high-density films for patterning applications.
[0002] 집적 회로들은 단일 칩(single chip) 상에 수백만 개의 트랜지스터들(transistors), 커패시터들(capacitors) 및 저항기들(resistors)을 포함할 수 있는 복잡한 디바이스들로 발전했다. 칩 설계들의 발전은 보다 빠른 회로 및 보다 큰 회로 밀도를 계속해서 요구하고 있다. 보다 큰 회로 밀도들을 갖는 보다 빠른 회로에 대한 요구들은 그러한 집적 회로들을 제조하는 데 사용되는 재료들에 대한 대응하는 요구들을 부과한다. 특히, 집적 회로 구성요소들의 치수들이 미크론 미만의 스케일(sub-micron scale)로 감소함에 따라, 그러한 구성요소들로부터 적합한 전기적 성능을 얻기 위해, 이제 낮은 저항률의 전도성 재료들뿐만 아니라, 낮은 유전 상수의 절연 재료들을 사용하는 것이 필요하다.[0002] Integrated circuits have evolved into complex devices that may include millions of transistors, capacitors, and resistors on a single chip. Advances in chip designs continue to require faster circuitry and greater circuit density. Demands for faster circuitry with greater circuit densities place corresponding demands on the materials used to fabricate such integrated circuits. In particular, as the dimensions of integrated circuit components decrease on the sub-micron scale, it is now possible to use low resistivity conductive materials as well as low dielectric constant materials to obtain suitable electrical performance from such components. It is necessary to use insulating materials.
[0003] 보다 큰 집적 회로 밀도들에 대한 요구들은 또한 집적 회로 구성요소들의 제조에 사용되는 프로세스 시퀀스들(process sequences)에 대한 요구들을 부과한다. 예를 들어, 종래의 포토리소그래피 기술들(photolithographic techniques)을 사용하는 프로세스 시퀀스들에서, 기판 상에 배치된 재료 층들의 스택(stack) 위에 에너지 민감성 레지스트(energy sensitive resist) 층이 형성된다. 에너지 민감성 레지스트 층은 패턴의 이미지에 노출되어 포토레지스트 마스크(photoresist mask)를 형성한다. 그 후에, 마스크 패턴(mask pattern)은 에칭 프로세스(etch process)를 사용하여 스택의 재료 층들 중 하나 이상으로 전사된다. 에칭 프로세스에 사용되는 화학적 에천트(chemical etchant)는 에너지 민감성 레지스트의 마스크보다 스택의 재료 층들에 대해 더 큰 에칭 선택비(etch selectivity)를 갖도록 선택된다. 즉, 화학적 에천트는 에너지 민감성 레지스트보다 훨씬 더 빠른 속도로 재료 스택의 하나 이상의 층들을 에칭한다. 레지스트와 비교되는(over), 스택의 하나 이상의 재료 층들에 대한 에칭 선택비는 패턴 전사의 완료 이전에 에너지 민감성 레지스트가 소비되는 것을 방지한다.[0003] Demands for greater integrated circuit densities also place demands on the process sequences used in the fabrication of integrated circuit components. For example, in process sequences using conventional photolithographic techniques, an energy sensitive resist layer is formed over a stack of material layers disposed on a substrate. A layer of energy sensitive resist is exposed to an image of the pattern to form a photoresist mask. A mask pattern is then transferred to one or more of the material layers of the stack using an etch process. The chemical etchant used in the etch process is selected to have a greater etch selectivity to the material layers of the stack than the mask of energy sensitive resist. That is, the chemical etchant etches one or more layers of the material stack at a much faster rate than the energy sensitive resist. The etch selectivity for one or more material layers of the stack, over the resist, prevents the energy sensitive resist from being consumed prior to completion of pattern transfer.
[0004] 패턴 치수들이 감소됨에 따라, 에너지 민감성 레지스트의 두께는 패턴 해상도를 제어하기 위해 대응적으로 감소된다. 그러한 얇은 레지스트 층들은 화학적 에천트에 의한 공격으로 인해 패턴 전사 단계 동안에 하부 재료 층들(underlying material layers)을 마스킹하기에 불충분할 수 있다. 하드마스크(hardmask)로 불리는 중간 층(예를 들어, 실리콘 산질화물, 실리콘 탄화물 또는 탄소 막)은, 화학적 에천트에 대한 보다 큰 저항으로 인해, 패턴 전사를 용이하게 하기 위해 에너지 민감성 레지스트 층과 하부 재료 층들 사이에 종종 사용된다. 높은 에칭 선택비 및 높은 증착 속도들 둘 모두를 갖는 하드마스크 재료들이 바람직하다. 임계 치수들(critical dimensions; CD)이 감소함에 따라, 현재의 하드마스크 재료들은 하부 재료들(예를 들어, 산화물들 및 질화물들)에 대한 원하는 에칭 선택비가 부족하고, 종종 증착하기 어렵다.[0004] As the pattern dimensions are reduced, the thickness of the energy sensitive resist is correspondingly reduced to control the pattern resolution. Such thin resist layers may be insufficient to mask the underlying material layers during the pattern transfer step due to attack by the chemical etchant. An intermediate layer (e.g., silicon oxynitride, silicon carbide, or carbon film), called a hardmask, due to its greater resistance to chemical etchants, forms an energy-sensitive resist layer and an underlying layer to facilitate pattern transfer. It is often used between layers of material. Hardmask materials that have both high etch selectivity and high deposition rates are desirable. As critical dimensions (CD) decrease, current hardmask materials lack the desired etch selectivity to underlying materials (eg, oxides and nitrides) and are often difficult to deposit.
[0005] 따라서, 당업계에서는 개선된 하드마스크 층들 및 개선된 하드마스크 층들을 증착하기 위한 방법들에 대한 필요성이 존재한다.[0005] Accordingly, there is a need in the art for improved hardmask layers and methods for depositing the improved hardmask layers.
[0006] 본 개시내용의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은 패터닝 애플리케이션들을 위한 고밀도 막들의 증착을 위한 기법들을 제공한다. 하나 이상의 실시예들에서, 기판을 프로세싱하는 방법은, 하나 이상의 탄화수소 화합물들 및 하나 이상의 도펀트 화합물들을 함유하는 증착 가스를 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 볼륨 내로 유동시키는 단계를 포함하며, 여기서, 프로세싱 볼륨 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지된다. 방법은 또한, 기판 상에 도핑된 다이아몬드형 탄소 막을 증착하기 위해 정전 척에 제1 RF 바이어스를 인가함으로써 기판에 플라즈마를 생성하는 단계를 포함하며, 여기서, 도핑된 다이아몬드형 탄소 막은 2 g/cc 초과의 밀도 및 -500 MPa 미만의 응력를 갖는다.[0006] Embodiments of the present disclosure relate generally to the fabrication of integrated circuits. More specifically, the embodiments described herein provide techniques for deposition of high-density films for patterning applications. In one or more embodiments, a method of processing a substrate includes flowing a deposition gas containing one or more hydrocarbon compounds and one or more dopant compounds into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. where the processing volume is maintained at a pressure of about 0.5 mTorr to about 10 Torr. The method also includes generating a plasma in the substrate by applying a first RF bias to an electrostatic chuck to deposit a doped diamondoid carbon film on the substrate, wherein the doped diamondoid carbon film has a density greater than 2 g/cc. density and a stress of less than -500 MPa.
[0007] 일부 실시예들에서, 기판을 프로세싱하는 방법은, 하나 이상의 탄화수소 화합물들 및 하나 이상의 도펀트 화합물들을 함유하는 증착 가스를 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 볼륨 내로 유동시키는 단계를 포함하며, 여기서 정전 척은 척킹 전극 및 척킹 전극와 별개인 RF 전극을 가지며, 여기서 프로세싱 볼륨은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지된다. 방법은 또한, 기판 상에 도핑된 다이아몬드형 탄소 막을 증착하기 위해 제1 RF 바이어스를 RF 전극에 인가하고 제2 RF 바이어스를 척킹 전극에 인가함으로써 기판에 플라즈마를 생성하는 단계를 포함한다. 도핑된 다이아몬드형 탄소 막은 2 g/cc 초과 내지 약 12 g/cc의 밀도 및 약 -600 MPa 내지 약 -300 MPa의 응력을 갖는다. 도핑된 다이아몬드형 탄소 막은 약 50 원자 퍼센트(원자%) 내지 약 90 원자%의 sp3 혼성화된 탄소 원자들을 함유한다.[0007] In some embodiments, a method of processing a substrate includes flowing a deposition gas containing one or more hydrocarbon compounds and one or more dopant compounds into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. wherein the electrostatic chuck has a chucking electrode and an RF electrode separate from the chucking electrode, wherein the processing volume is maintained at a pressure of about 0.5 mTorr to about 10 Torr. The method also includes generating a plasma in the substrate by applying a first RF bias to the RF electrode and a second RF bias to the chucking electrode to deposit a doped diamondoid carbon film on the substrate. The doped diamondoid carbon film has a density of greater than 2 g/cc to about 12 g/cc and a stress of about -600 MPa to about -300 MPa. The doped diamondoid carbon film contains from about 50 atomic percent (atomic percent) to about 90 atomic percent sp 3 hybridized carbon atoms.
[0008] 다른 실시예들에서, 기판을 프로세싱하는 방법은, 하나 이상의 탄화수소 화합물들 및 하나 이상의 도펀트 화합물들을 함유하는 증착 가스를, 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 볼륨 내로 유동시키는 단계를 포함한다. 정전 척은 척킹 전극 및 척킹 전극과 별개인 RF 전극을 가지며, 프로세싱 볼륨은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지된다. 방법은 또한, 기판 상에 도핑된 다이아몬드형 탄소 막을 증착하기 위해 제1 RF 바이어스를 RF 전극에 인가하고 제2 RF 바이어스를 척킹 전극에 인가함으로써 기판에 플라즈마를 생성하는 단계를 포함하며, 여기서, 도핑된 다이아몬드형 탄소 막은 2 g/cc 초과 내지 약 12 g/cc의 밀도 및 약 -600 MPa 내지 약 -300 MPa의 응력을 갖는다. 방법은, 도핑된 다이아몬드형 탄소 막 위에 패터닝된 포토레지스트 층을 형성하는 단계, 패터닝된 포토레지스트 층에 대응하는 패턴으로 도핑된 다이아몬드형 탄소 막을 에칭하는 단계, 및 기판 내로 패턴을 에칭하는 단계를 추가로 포함한다.[0008] In other embodiments, a method of processing a substrate includes flowing a deposition gas containing one or more hydrocarbon compounds and one or more dopant compounds into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. Include steps. The electrostatic chuck has a chucking electrode and an RF electrode separate from the chucking electrode, and the processing volume is maintained at a pressure of about 0.5 mTorr to about 10 Torr. The method also includes generating a plasma on the substrate by applying a first RF bias to the RF electrode and a second RF bias to the chucking electrode to deposit a doped diamond-like carbon film on the substrate, wherein the doping The diamond-like carbon film having a density of greater than 2 g/cc to about 12 g/cc and a stress of about -600 MPa to about -300 MPa. The method further includes forming a patterned photoresist layer over the doped diamond-like carbon film, etching the doped diamond-like carbon film in a pattern corresponding to the patterned photoresist layer, and etching the pattern into the substrate. to include
[0009] 하나 이상의 실시예들에서, 극자외선("EUV") 리소그래피 프로세스를 위한 하부층(underlayer)으로서 사용하기 위한 막이 제공되며, 막은 막에서 탄소 원자들의 총량을 기준으로 약 40% 내지 약 90%의 sp3 혼성화된 탄소 원자 함량, 약 0.1 원자% 내지 약 20 원자%의 하나 이상의 도펀트들, 2.5 g/cc 초과 내지 약 12 g/cc의 밀도, 및 약 150 GPa 이상 내지 약 400 GPa의 탄성 모듈러스를 포함한다.[0009] In one or more embodiments, a film for use as an underlayer for an extreme ultraviolet ("EUV") lithography process is provided, the film comprising about 40% to about 90%, based on the total amount of carbon atoms in the film. an sp 3 hybridized carbon atom content of about 0.1 atomic % to about 20 atomic % of one or more dopants, a density of greater than 2.5 g/cc to about 12 g/cc, and an elastic modulus of greater than or equal to about 150 GPa to about 400 GPa. includes
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 구현예들의 보다 구체적인 설명이 구현예들을 참조로 하여 이루어질 수 있는데, 이러한 구현예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 구현예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현예들을 허용할 수 있기 때문이다.
[0011] 도 1a는 본원에서 설명되는 실시예들의 실시에 사용될 수 있는 증착 시스템의 개략적인 단면도를 도시한다.
[0012] 도 1b는 본원에서 설명되는 실시예들의 실시에 사용될 수 있는 다른 증착 시스템의 개략적인 단면도를 도시한다.
[0013] 도 2는 본원에서 설명되는 실시예들의 실시를 위해, 도 1a 및 도 1b의 장치에서 사용될 수 있는 정전 척의 개략적인 단면도를 도시한다.
[0014] 도 3은 본 개시내용의 하나 이상의 실시예들에 따라 기판 상에 배치된 막 스택 상에 도핑된 다이아몬드형 탄소 막을 형성하기 위한 방법의 흐름도를 도시한다.
[0015] 도 4a 및 도 4b는 본 개시내용의 하나 이상의 실시예들에 따른, 기판 상에 형성된 막 스택 상에 도핑된 다이아몬드형 탄소 막을 형성하기 위한 시퀀스를 도시한다.
[0016] 도 5는 본 개시내용의 하나 이상의 실시예들에 따른, 도핑된 다이아몬드형 탄소 막을 사용하는 방법의 흐름도를 도시한다.
[0017] 이해를 용이하게 하기 위해, 도면들에 대해 공통적인 동일한 요소들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예의 요소들 및 특징들이 추가의 언급없이 다른 실시예들에 유익하게 통합될 수 있음이 고려된다.[0010] In such a way that the above-listed features of the present disclosure may be understood in detail, a more detailed description of the foregoing briefly summarized implementations may be made with reference to implementations, some of which are illustrated in the accompanying drawings exemplified in the However, it should be noted that the accompanying drawings depict only typical implementations of the present disclosure and are therefore not to be regarded as limiting the scope of the present disclosure, as it allows for other equally effective implementations. Because you can.
[0011] FIG. 1A shows a schematic cross-sectional view of a deposition system that can be used in the practice of embodiments described herein.
[0012] FIG. 1B shows a schematic cross-sectional view of another deposition system that may be used in the practice of embodiments described herein.
[0013] FIG. 2 shows a schematic cross-sectional view of an electrostatic chuck that can be used in the apparatus of FIGS. 1A and 1B, for practice of embodiments described herein.
[0014] FIG. 3 shows a flow diagram of a method for forming a doped diamondoid carbon film on a film stack disposed on a substrate in accordance with one or more embodiments of the present disclosure.
4A and 4B show a sequence for forming a doped diamondoid carbon film on a film stack formed on a substrate, in accordance with one or more embodiments of the present disclosure.
[0016] FIG. 5 shows a flow diagram of a method using a doped diamondoid carbon film, in accordance with one or more embodiments of the present disclosure.
[0017] For ease of understanding, the same reference numbers have been used where possible to indicate like elements that are common to the drawings. It is contemplated that elements and features of one embodiment may be advantageously incorporated into other embodiments without further recitation.
[0018] 본원에 제공된 실시예들은 도핑된 다이아몬드형 탄소 막들 및 기판 상에 도핑된 다이아몬드형 탄소 막들을 증착하거나 달리 형성하기 위한 방법들에 관한 것이다. 특정 세부사항들은 본 개시내용의 다양한 구현예들에 대한 철저한 이해를 제공하기 위해 하기의 설명 및 도 1a 내지 도 5에 기술되어 있다. 플라즈마 프로세싱 및 도핑된 다이아몬드형 탄소 막 증착과 종종 연관된 잘 알려진 구조체들 및 시스템들을 설명하는 다른 세부사항들은 다양한 실시예들의 설명을 불필요하게 모호하게 하는 것을 회피하기 위해 하기의 개시내용에는 기술되지 않는다.[0018] Embodiments provided herein relate to doped diamond-like carbon films and methods for depositing or otherwise forming doped diamond-like carbon films on a substrate. Specific details are set forth in the description below and in FIGS. 1A-5 to provide a thorough understanding of various implementations of the present disclosure. Other details describing well-known structures and systems often associated with plasma processing and doped diamondoid carbon film deposition are not set forth in the following disclosure to avoid unnecessarily obscuring the description of various embodiments.
[0019] 도면들에 도시된 많은 세부사항들, 치수들, 각도들 및 다른 특징들은 특정 실시예들을 예시하는 것일 뿐이다. 따라서, 다른 실시예들은 본 개시내용의 사상 또는 범위로부터 벗어남이 없이 다른 세부사항들, 구성요소들, 치수들, 각도들 및 특징들을 가질 수 있다. 또한, 본 개시내용의 추가 실시예들은 후술되는 몇몇의 세부사항들 없이도 실시될 수 있다.[0019] The many details, dimensions, angles and other features shown in the drawings merely illustrate particular embodiments. Accordingly, other embodiments may have other details, components, dimensions, angles, and features without departing from the spirit or scope of the present disclosure. Moreover, additional embodiments of the present disclosure may be practiced without some of the details set forth below.
[0020] 본원에서 설명되는 실시예들은 임의의 적합한 박막 증착 시스템을 사용하여 수행될 수 있는 플라즈마-강화 화학 기상 증착(PE-CVD) 프로세스를 참조하여 아래에서 설명될 것이다. 적합한 시스템들의 예들은 DXZ® 프로세싱 챔버를 사용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCER® 시스템들, PRODUCER® GT™ 시스템들, PRODUCER® XP Precision™ 시스템들, PRODUCER® SE™ 시스템들, Sym3® 프로세싱 챔버, 및 Mesa™ 프로세싱 챔버를 포함하며, 이들 모두는 캘리포니아주 산타클라라 소재의 Applied Materials, Inc.로부터 상업적으로 입수 가능하다. PE-CVD 프로세스들을 수행할 수 있는 다른 툴들(tools)이 또한 본원에 설명된 실시예들로부터 이익을 얻도록 적합화될 수 있다. 또한, 본원에 설명된 PE-CVD 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본원에 설명된 장치 설명은 예시적인 것이며, 본원에 설명된 실시예들의 범위를 제한하는 것으로 이해되거나 해석되어서는 안 된다.[0020] Embodiments described herein will be described below with reference to a plasma-enhanced chemical vapor deposition (PE-CVD) process that can be performed using any suitable thin film deposition system. Examples of suitable systems are CENTURA® systems capable of using a DXZ® processing chamber, PRECISION 5000® systems, PRODUCER® systems, PRODUCER® GT™ systems, PRODUCER® XP Precision™ systems, PRODUCER® SE™ systems , the Sym3® processing chamber, and the Mesa™ processing chamber, all commercially available from Applied Materials, Inc. of Santa Clara, CA. Other tools capable of performing PE-CVD processes may also be adapted to benefit from the embodiments described herein. Additionally, any system that enables the PE-CVD processes described herein may be advantageously used. Device descriptions set forth herein are illustrative and should not be understood or construed as limiting the scope of the embodiments described herein.
[0021] 메모리 및 다른 디바이스들에 대한 현재의 하드마스크 애플리케이션들은 대체로, 본질적으로 비정질인 두꺼운 탄소 막들(예를 들어, 약 300 nm 내지 약 1.5 미크론)을 사용하지만, 이들의 에칭 선택비는 점점 더 엄격해지는 요건들 및 후속적인 노드들의 고-종횡비 에칭을 충족시키기에 더 이상 충분하지 않다. 더 큰 에칭 선택비를 달성하기 위해, 막의 밀도 및 영률(Young's modulus)이 개선될 필요가 있다. 더 큰 에칭 선택비 및 개선된 영률을 달성하는 데 있어서 주요 난제들 중 하나는, 결과적인 높은 웨이퍼/기판 휨으로 인해 그러한 막의 높은 압축 응력으로 인해 그러한 막이 애플리케이션들에 부적합하다는 것이다. 따라서, 낮은 응력(예를 들어, < -500 MPa)과 함께 높은 에칭 선택비를 갖는 고밀도 및 모듈러스(예를 들어, 더 큰 sp3 함량, 더 많은 다이아몬드형)를 갖는 탄소(다이아몬드형) 막들에 대한 필요성이 존재한다.[0021] Current hardmask applications for memory and other devices generally use thick carbon films (e.g., about 300 nm to about 1.5 microns) that are essentially amorphous, but their etch selectivity is increasingly It is no longer sufficient to meet stringent requirements and subsequent high-aspect ratio etching of nodes. To achieve a higher etching selectivity, the density and Young's modulus of the film need to be improved. One of the major challenges in achieving greater etch selectivity and improved Young's modulus is that the high compressive stress of such films due to the resulting high wafer/substrate warpage makes such films unsuitable for applications. Thus, for carbon (diamond-shaped) films with high density and modulus (eg higher sp 3 content, more diamondoid) with high etch selectivity with low stress (eg <-500 MPa) there is a need for
[0022] 본원에서 설명되는 실시예들은, 고밀도(예를 들어, > 2 g/cc), 높은 모듈러스(예를 들어, > 150 GPa), 및 낮은 응력(예를 들어, < -500 MPa)을 갖는 도핑된 다이아몬드형 탄소 막들을 제조하는 개선된 방법들을 포함한다. 본원에서 설명되는 실시예들에 따라 제조된 도핑된 다이아몬드형 탄소 막들은 본질적으로 비정질이고, 현재의 패터닝 막들보다 더 낮은 응력과 함께 훨씬 더 큰 모듈러스(예를 들어, > 150 GPa)로 더 큰 에칭 선택성을 갖는다. 본원에서 설명되는 실시예들에 따라 제조된 도핑된 다이아몬드형 탄소 막들은 낮은 응력을 가질 뿐만 아니라 높은 sp3 탄소 함량을 갖는다. 일반적으로, 본원에서 설명되는 증착 프로세스는 또한, 하드마스크 애플리케이션들을 위한 현재의 통합 방식들과 완전히 호환가능하다.[0022] Embodiments described herein provide high density (eg, >2 g/cc), high modulus (eg, >150 GPa), and low stress (eg, <-500 MPa). and improved methods of fabricating doped diamond-like carbon films having Doped diamondoid carbon films prepared in accordance with the embodiments described herein are inherently amorphous and can be etched with much higher modulus (e.g., >150 GPa) with lower stress than current patterning films. have selectivity. Doped diamondoid carbon films prepared according to the embodiments described herein have low stress as well as high sp 3 carbon content. In general, the deposition process described herein is also fully compatible with current integration schemes for hardmask applications.
[0023] 하나 이상의 실시예들에서, 본원에서 설명되는 도핑된 다이아몬드형 탄소 막들은, 하나 이상의 탄화수소 화합물들 및 하나 이상의 도펀트 화합물들을 함유하는 증착 가스를 사용하는 화학 기상 증착(CVD), 예컨대 플라즈마 강화 CVD 및/또는 열 CVD 프로세스들에 의해 형성될 수 있다. 예시적인 탄화수소 화합물들은 에틴 또는 아세틸렌(C2H2), 프로펜(C3H6), 메탄(CH4), 부텐(C4H8), 1,3-디메틸아다만탄, 바이사이클로[2.2.1]헵타-2,5-디엔(2,5-노르보르나디엔), 아다만틴(C10H16), 노보넨(C7H10), 이들의 유도체들, 이들의 이성질체들, 또는 이들의 임의의 조합일 수 있거나, 이들을 포함할 수 있다.[0023] In one or more embodiments, the doped diamondoid carbon films described herein are subjected to chemical vapor deposition (CVD) using a deposition gas containing one or more hydrocarbon compounds and one or more dopant compounds, such as plasma enhanced It may be formed by CVD and/or thermal CVD processes. Exemplary hydrocarbon compounds include ethyne or acetylene (C 2 H 2 ), propene (C 3 H 6 ), methane (CH 4 ), butene (C 4 H 8 ), 1,3-dimethyladamantane, bicyclo[ 2.2.1]hepta-2,5-diene (2,5-norbornadiene), adamantine (C 10 H 16 ), norbornene (C 7 H 10 ), their derivatives, their isomers , or any combination thereof.
[0024] 도펀트 화합물은 하나 이상의 금속 도펀트들, 하나 이상의 비-금속 도펀트들, 또는 이들의 조합들일 수 있거나 이들을 포함할 수 있다. 도펀트 화합물은 CVD 또는 ALD와 같은 기상 증착 프로세스에서 사용되는 하나 이상의 화학적 전구체들일 수 있다. 금속 도펀트는 텅스텐, 몰리브덴, 코발트, 니켈, 바나듐, 하프늄, 지르코늄, 탄탈, 또는 이들의 임의의 조합 중 하나 이상일 수 있거나, 또는 이들을 포함할 수 있다. 따라서, 금속 도펀트는, 텅스텐 전구체들, 몰리브덴 전구체들, 코발트 전구체들, 니켈 전구체들, 바나듐 전구체들, 하프늄 전구체들, 지르코늄 전구체들, 탄탈 전구체들, 또는 이들의 임의의 조합 중 하나 이상일 수 있거나 이들을 포함할 수 있다. 예시적인 금속 도펀트들은, 텅스텐 헥사플루오라이드, 텅스텐 헥사카보닐, 몰리브덴 펜타클로라이드, 사이클로펜타디에닐 디카보닐 코발트, 디코발트 헥사카보닐 부틸아세틸렌(CCTBA), 비스(사이클로펜타디에닐) 코발트, 비스(메틸사이클로펜타디에닐) 니켈, 바나듐 펜타클로라이드, 하프늄 테트라클로라이드, 테트라키스(디메틸아미노) 하프늄, 테트라키스(디에틸아미노) 하프늄, 지르코늄 테트라클로라이드, 비스(사이클로펜타디에닐) 지르코늄 디하이드라이드, 테트라키스(디메틸아미노) 지르코늄, 테트라키스(디에틸아미노) 지르코늄, 탄탈 펜타클로라이드, 탄탈 펜타플루오라이드, 펜타키스(디메틸아미노) 탄탈, 펜타키스(디에틸아미노) 탄탈, 펜타키스(에틸메틸아미노) 탄탈, 이들의 부가물들, 이들의 유도체들, 또는 이들의 임의의 조합일 수 있거나, 또는 이를 포함할 수 있다. 비-금속 도펀트는 붕소, 실리콘, 게르마늄, 질소, 인, 또는 이들의 임의의 조합 중 하나 이상이거나 또는 이를 포함할 수 있다. 따라서, 비-금속 도펀트는 붕소 전구체들, 실리콘 전구체들, 게르마늄 전구체들, 질소 전구체들, 인 전구체들, 또는 이들의 임의의 조합 중 하나 이상일 수 있거나 이들을 포함할 수 있다. 예시적인 비-금속 도펀트들은 디실란, 디보란, 트리에틸보란, 실란, 디실란, 트리실란, 게르만, 암모니아, 하이드라진, 포스핀, 이들의 부가물들, 또는 이들의 임의의 조합이거나 이들을 포함할 수 있다.[0024] The dopant compound may be or include one or more metal dopants, one or more non-metal dopants, or combinations thereof. A dopant compound can be one or more chemical precursors used in a vapor deposition process such as CVD or ALD. The metal dopant can be or include one or more of tungsten, molybdenum, cobalt, nickel, vanadium, hafnium, zirconium, tantalum, or any combination thereof. Thus, the metal dopant may be or may be one or more of tungsten precursors, molybdenum precursors, cobalt precursors, nickel precursors, vanadium precursors, hafnium precursors, zirconium precursors, tantalum precursors, or any combination thereof. can include Exemplary metal dopants include tungsten hexafluoride, tungsten hexacarbonyl, molybdenum pentachloride, cyclopentadienyl dicarbonyl cobalt, dicobalt hexacarbonyl butylacetylene (CCTBA), bis(cyclopentadienyl) cobalt, bis( methylcyclopentadienyl) nickel, vanadium pentachloride, hafnium tetrachloride, tetrakis(dimethylamino) hafnium, tetrakis(diethylamino) hafnium, zirconium tetrachloride, bis(cyclopentadienyl) zirconium dihydride, tetra Kiss(dimethylamino) zirconium, tetrakis(diethylamino) zirconium, tantalum pentachloride, tantalum pentafluoride, pentakis(dimethylamino) tantalum, pentakis(diethylamino) tantalum, pentakis(ethylmethylamino) tantalum , adducts thereof, derivatives thereof, or any combination thereof. The non-metal dopant may be or include one or more of boron, silicon, germanium, nitrogen, phosphorus, or any combination thereof. Thus, the non-metal dopant may be or include one or more of boron precursors, silicon precursors, germanium precursors, nitrogen precursors, phosphorus precursors, or any combination thereof. Exemplary non-metal dopants may be or include disilane, diborane, triethylborane, silane, disilane, trisilane, germane, ammonia, hydrazine, phosphine, adducts thereof, or any combination thereof. there is.
[0025] 기판 및/또는 프로세싱 볼륨은 증착 프로세스 동안 독립적인 온도들로 가열 및 유지될 수 있다. 기판 및/또는 프로세싱 볼륨은 약 -50℃, 약 -25℃, 약 -10℃, 약 -5℃, 약 0℃, 약 5℃, 또는 약 10℃ 내지 약 15℃, 약 20℃, 약 23℃, 약 30℃, 약 50℃, 약 100℃, 약 150℃, 약 200℃, 약 300℃ 약 400℃, 약 500℃, 또는 약 600℃의 온도로 가열될 수 있다. 예를 들어, 기판 및/또는 프로세싱 볼륨은 약 -50℃ 내지 약 600℃, 약 -50℃ 내지 약 450℃, 약 -50℃ 내지 약 350℃, 약 -50℃ 내지 약 200℃, 약 -50℃ 내지 약 100℃, 약 -50℃ 내지 약 50℃, 약 -50℃ 내지 약 0℃, 약 0℃ 내지 약 600℃, 약 0℃ 내지 약 450℃, 약 0℃ 내지 약 350℃, 약 0℃ 내지 약 200℃, 약 0℃ 내지 약 120℃, 약 0℃ 내지 약 100℃, 약 0℃ 내지 약 80℃, 약 0℃ 내지 약 50℃, 약 0℃ 내지 약 25℃, 약 10℃ 내지 약 600℃, 약 10℃ 내지 약 450℃, 약 10℃ 내지 약 350℃, 약 10℃ 내지 약 200℃, 약 10℃ 내지 약 100℃, 또는 10℃ 내지 약 50℃의 온도로 가열될 수 있다.[0025] The substrate and/or processing volume may be heated and maintained at independent temperatures during the deposition process. The substrate and/or processing volume is about -50°C, about -25°C, about -10°C, about -5°C, about 0°C, about 5°C, or about 10°C to about 15°C, about 20°C, about 23°C. °C, about 30 °C, about 50 °C, about 100 °C, about 150 °C, about 200 °C, about 300 °C, about 400 °C, about 500 °C, or about 600 °C. For example, the substrate and/or processing volume may be about -50°C to about 600°C, about -50°C to about 450°C, about -50°C to about 350°C, about -50°C to about 200°C, about -50°C °C to about 100 °C, about -50 °C to about 50 °C, about -50 °C to about 0 °C, about 0 °C to about 600 °C, about 0 °C to about 450 °C, about 0 °C to about 350 °C, about 0 °C to about 200 °C, about 0 °C to about 120 °C, about 0 °C to about 100 °C, about 0 °C to about 80 °C, about 0 °C to about 50 °C, about 0 °C to about 25 °C, about 10 °C to It may be heated to a temperature of about 600°C, about 10°C to about 450°C, about 10°C to about 350°C, about 10°C to about 200°C, about 10°C to about 100°C, or 10°C to about 50°C. .
[0026] 프로세싱 챔버의 프로세싱 볼륨은 증착 프로세스 동안 대기압-미만(sub-atmospheric) 압력들로 유지된다. 프로세싱 챔버의 프로세싱 볼륨은 약 0.1 mTorr, 약 0.5 mTorr, 약 1 mTorr, 약 5 mTorr, 약 10 mTorr, 약 50 mTorr, 또는 약 80 mTorr 내지 약 100 mTorr, 약 250 mTorr, 약 500 mTorr, 약 1 Torr, 약 5 Torr, 약 10 Torr, 약 20 Torr, 약 50 Torr, 또는 약 100 Torr의 압력으로 유지된다. 예컨대, 프로세싱 챔버의 프로세싱 볼륨은, 약 0.1 mTorr 내지 약 10 Torr, 약 0.1 mTorr 내지 약 5 Torr, 약 0.1 mTorr 내지 약 1 Torr, 약 0.1 mTorr 내지 약 500 mTorr, 약 0.1 mTorr 내지 약 100 mTorr, 약 0.1 mTorr 내지 약 10 mTorr, 약 1 mTorr 내지 약 10 Torr, 약 1 mTorr 내지 약 5 Torr, 약 1 mTorr 내지 약 1 Torr, 약 1 mTorr 내지 약 500 mTorr, 약 약 100 mTorr, 약 1 mTorr 내지 약 10 mTorr, 약 5 mTorr 내지 약 10 Torr, 약 5 mTorr 내지 약 5 Torr, 약 5 mTorr 내지 약 1 Torr, 약 5 mTorr 내지 약 500 mTorr, 약 5 mTorr 내지 약 100 mTorr, 또는 약 5 mTorr 내지 약 10 mTorr의 압력으로 유지된다. [0026] The processing volume of the processing chamber is maintained at sub-atmospheric pressures during the deposition process. The processing volume of the processing chamber is about 0.1 mTorr, about 0.5 mTorr, about 1 mTorr, about 5 mTorr, about 10 mTorr, about 50 mTorr, or about 80 mTorr to about 100 mTorr, about 250 mTorr, about 500 mTorr, about 1 Torr , about 5 Torr, about 10 Torr, about 20 Torr, about 50 Torr, or about 100 Torr. For example, the processing volume of the processing chamber may be about 0.1 mTorr to about 10 Torr, about 0.1 mTorr to about 5 Torr, about 0.1 mTorr to about 1 Torr, about 0.1 mTorr to about 500 mTorr, about 0.1 mTorr to about 100 mTorr, about 0.1 mTorr to about 10 mTorr, about 1 mTorr to about 10 Torr, about 1 mTorr to about 5 Torr, about 1 mTorr to about 1 Torr, about 1 mTorr to about 500 mTorr, about 100 mTorr, about 1 mTorr to about 10 mTorr, about 5 mTorr to about 10 Torr, about 5 mTorr to about 5 Torr, about 5 mTorr to about 1 Torr, about 5 mTorr to about 500 mTorr, about 5 mTorr to about 100 mTorr, or about 5 mTorr to about 10 mTorr is maintained at a pressure of
[0027] 증착 가스는, 예를 들어 헬륨, 아르곤, 제논, 네온, 질소(N2), 수소(H2), 또는 이들의 임의의 조합과 같은 하나 이상의 희석 가스들, 캐리어 가스들, 및/또는 퍼지 가스들을 추가로 포함할 수 있다. 증착 가스는 막 품질을 개선하기 위해, 에천트 가스들, 예컨대, 염소(Cl2), 카본 테트라플루오라이드(CF4), 및/또는 질소 트리플루오라이드(NF3)를 추가로 포함할 수 있다. 플라즈마(예를 들어, 용량성 결합 플라즈마)는 상부 및 하부 전극들 또는 측면 전극들로부터 형성될 수 있다. 전극들은 단일 전력 전극, 이중 전력 전극들, 또는 그 초과의 전극들로 형성될 수 있으며, 약 350 KHz, 약 2 MHz, 약 13.56 MHz, 약 27 MHz, 약 40 MHz, 약 60 MHz, 및 약 100 MHz와 같은(그러나 이에 제한되지는 않음) 다중 주파수들이 하드마스크 및/또는 에칭 스톱(etch stop) 또는 평활한 탄소 막들을 필요로 하는 임의의 다른 응용으로서 사용하기 위한 다이아몬드형 탄소의 박막을 증착시키기 위해 본원에 열거된 임의의 또는 모든 반응물 가스들(reactant gases)과 함께 CVD 시스템에서 대안적으로 또는 동시에 사용된다. 도핑된 다이아몬드형 탄소 막의 높은 에칭 선택비는 현 세대의 막들보다 큰 밀도 및 모듈러스를 가짐으로써 달성된다. 이론에 얽매이지 않지만, 보다 큰 밀도 및 모듈러스는 도핑된 다이아몬드형 탄소 막 내의 높은 함량의 sp3 혼성화 탄소 원자들의 결과이며, 이는 결국 저압 및 플라즈마 전력의 조합에 의해 달성될 수 있는 것으로 여겨진다.[0027] The deposition gas may include, for example, one or more diluent gases , carrier gases, and / or Alternatively, purge gases may be further included. The deposition gas may further include etchant gases such as chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), and/or nitrogen trifluoride (NF 3 ) to improve film quality. . A plasma (eg, capacitively coupled plasma) may be formed from the top and bottom electrodes or side electrodes. The electrodes may be formed of single power electrodes, dual power electrodes, or more electrodes, and may operate at about 350 KHz, about 2 MHz, about 13.56 MHz, about 27 MHz, about 40 MHz, about 60 MHz, and about 100 MHz. Depositing a thin film of diamondoid carbon for use as a hardmask and/or etch stop or any other application requiring smooth carbon films with multiple frequencies such as, but not limited to, MHz. alternatively or concurrently in a CVD system with any or all of the reactant gases listed herein for The high etch selectivity of doped diamondoid carbon films is achieved by having a higher density and modulus than current generation films. Without being bound by theory, it is believed that the higher density and modulus is a result of the high content of sp 3 hybridized carbon atoms in the doped diamondoid carbon film, which in turn can be achieved by a combination of low pressure and plasma power.
[0028] 하나 이상의 실시예들에서, 약 2,500 와트(watt)(약 13.56 MHz)의 바이어스를 정전 척에 인가함으로써 기판 레벨에서 생성된 플라즈마를 사용하여, 약 10℃ 및 약 2 mTorr의 압력으로 유지된 기판 페데스탈을 갖는 챔버에서 도핑된 다이아몬드형 탄소 막이 증착된다. 다른 실시예들에서, 약 2 MHz에서 약 1,000 와트의 부가적인 RF가 또한, 정전 척에 전달되었고, 그에 따라, 기판 레벨에서 듀얼-바이어스 플라즈마(dual-bias plasma)를 생성한다.[0028] In one or more embodiments, using a plasma generated at the substrate level by applying a bias of about 2,500 watts (about 13.56 MHz) to the electrostatic chuck, maintained at about 10° C. and a pressure of about 2 mTorr. A doped diamond-like carbon film is deposited in a chamber having a substrate pedestal. In other embodiments, about 1,000 Watts of additional RF at about 2 MHz was also delivered to the electrostatic chuck, thereby creating a dual-bias plasma at the substrate level.
[0029] 하나 이상의 실시예들에서, 수소 라디칼이 RPS를 통해 공급되며, 이는 sp2 혼성화 탄소 원자들의 선택적 에칭을 초래하고, 그에 따라, 막의 sp3 혼성화 탄소 원자 분율을 추가로 증가시키고, 그에 따라, 에칭 선택비를 추가로 증가시킨다. 도핑된 다이아몬드형 탄소 막은 도핑된 다이아몬드형 탄소 막 내의 탄소 원자들의 총량을 기준으로, 적어도 40 원자 퍼센트(원자%), 약 45 원자%, 약 50 원자%, 약 55 원자%, 또는 약 58 원자% 내지 약 60 원자%, 약 65 원자%, 약 70 원자%, 약 75 원자%, 약 80 원자%, 약 85 원자%, 약 88 원자%, 약 90 원자%, 약 95 원자%인 sp3 혼성화 탄소 원자들의 농도 또는 비율(예를 들어, sp3 혼성화 탄소 원자 함량)를 가질 수 있다. 예를 들어, 도핑된 다이아몬드형 탄소 막은, 도핑된 다이아몬드형 탄소 막 내의 탄소 원자들의 총량을 기준으로, 적어도 40 원자% 내지 약 95 원자%, 약 45 원자% 내지 약 95 원자%, 약 50 원자% 내지 약 95 원자%, 약 50 원자% 내지 약 90 원자%, 약 50 원자% 내지 약 85 원자%, 약 50 원자% 내지 약 80 원자%, 약 50 원자% 내지 약 75 원자%, 약 50 원자% 내지 약 70 원자%, 약 50 원자% 내지 약 65 원자%, 약 65 원자% 내지 약 95 원자%, 약 65 원자% 내지 약 90 원자%, 약 65 원자% 내지 약 85 원자%, 약 65 원자% 내지 약 80 원자%, 약 65 원자% 내지 약 75 원자%, 약 65 원자% 내지 약 70 원자%, 약 65 원자% 내지 약 68 원자%, 약 75 원자% 내지 약 95 원자%, 약 75 원자% 내지 약 90 원자%, 약 75 원자% 내지 약 85 원자%, 약 75 원자% 내지 약 80 원자% 또는 약 75 원자% 내지 78 원자%인 sp3 혼성화 탄소 원자들의 농도 또는 비율을 가질 수 있다.[0029] In one or more embodiments, hydrogen radicals are supplied through the RPS, which results in selective etching of the sp 2 hybridized carbon atoms, thus further increasing the sp 3 hybridized carbon atom fraction of the film, and thus , which further increases the etching selectivity. The doped diamondoid carbon film comprises at least 40 atomic percent (atomic %), about 45 atomic %, about 50 atomic %, about 55 atomic %, or about 58 atomic %, based on the total amount of carbon atoms in the doped diamond-like carbon film. to about 60 atomic %, about 65 atomic %, about 70 atomic %, about 75 atomic %, about 80 atomic %, about 85 atomic %, about 88 atomic %, about 90 atomic %, about 95 atomic % sp 3 hybridized carbon. It may have a concentration or ratio of atoms (eg, sp 3 hybridized carbon atom content). For example, the doped diamondoid carbon film may contain, based on the total amount of carbon atoms in the doped diamondoid carbon film, at least 40 atomic % to about 95 atomic %, about 45 atomic % to about 95 atomic %, about 50 atomic % to about 95 atomic %, about 50 atomic % to about 90 atomic %, about 50 atomic % to about 85 atomic %, about 50 atomic % to about 80 atomic %, about 50 atomic % to about 75 atomic %, about 50 atomic % to about 70 atomic %, about 50 atomic % to about 65 atomic %, about 65 atomic % to about 95 atomic %, about 65 atomic % to about 90 atomic %, about 65 atomic % to about 85 atomic %, about 65 atomic % to about 80 atomic %, about 65 atomic % to about 75 atomic %, about 65 atomic % to about 70 atomic %, about 65 atomic % to about 68 atomic %, about 75 atomic % to about 95 atomic %, about 75 atomic % to about 90 atomic %, about 75 atomic % to about 85 atomic %, about 75 atomic % to about 80 atomic % or about 75 atomic % to 78 atomic %.
[0030] 도핑된 다이아몬드형 탄소 막은 도핑된 다이아몬드형 탄소 막 내의 원자들의 총량을 기준으로, 약 0.01 원자%, 약 0.05 원자%, 약 0.1 원자%, 약 0.3 원자%, 약 0.5 원자%, 약 0.8 원자%, 약 1 원자%, 약 1.2 원자%, 약 1.5 원자%, 약 1.8 원자%, 약 2 원자%, 약 2.5 원자%, 또는 약 2.8 원자% 내지 약 3 원자%, 약 3.5 원자%, 약 4 원자%, 약 5 원자%, 약 6 원자%, 약 7 원자%, 약 8 원자%, 약 9 원자%, 약 10 원자%, 약 12 원자%, 약 15 원자%, 약 18 원자%, 약 20 원자%, 약 25 원자%, 약 30 원자%, 또는 그 초과의 도펀트의 농도 또는 백분율을 가질 수 있다. 예를 들어, 도핑된 다이아몬드형 탄소 막은 도핑된 다이아몬드형 탄소 막 내의 원자들의 총량을 기준으로, 약 0.01 원자% 내지 약 25 원자%, 약 0.1 원자% 내지 약 25 원자%, 약 0.5 원자% 내지 약 25 원자%, 약 1 원자% 내지 약 25 원자%, 약 2 원자% 내지 약 25 원자%, 약 3 원자% 내지 약 25 원자%, 약 5 원자% 내지 약 25 원자%, 약 7 원자% 내지 약 25 원자%, 약 10 원자% 내지 약 25 원자%, 약 12 원자% 내지 약 25 원자%, 약 15 원자% 내지 약 25 원자%, 약 18 원자% 내지 약 25 원자%, 약 20 원자% 내지 약 25 원자%, 약 0.1 원자% 내지 약 20 원자%, 약 0.5 원자% 내지 약 20 원자%, 약 1 원자% 내지 약 20 원자%, 약 2 원자% 내지 약 20 원자%, 약 3 원자% 내지 약 20 원자%, 약 5 원자% 내지 약 20 원자%, 약 7 원자% 내지 약 20 원자%, 약 10 원자% 내지 약 20 원자%, 약 12 원자% 내지 약 20 원자%, 약 15 원자% 내지 약 20 원자%, 약 18 원자% 내지 약 20 원자%, 약 0.1 원자% 내지 약 18 원자%, 약 0.5 원자% 내지 약 18 원자%, 약 1 원자% 내지 약 18 원자%, 약 2 원자% 내지 약 18 원자%, 약 3 원자% 내지 약 18 원자%, 약 5 원자% 내지 약 18 원자%, 약 7 원자% 내지 약 18 원자%, 약 10 원자% 내지 약 18 원자%, 약 12 원자% 내지 약 18 원자%, 약 15 원자% 내지 약 18 원자%, 약 0.1 원자% 내지 약 15 원자%, 약 0.5 원자% 내지 약 15 원자%, 약 1 원자% 내지 약 15 원자%, 약 2 원자% 내지 약 15 원자%, 약 3 원자% 내지 약 15 원자%, 약 5 원자% 내지 약 15 원자%, 약 7 원자% 내지 약 15 원자%, 약 10 원자% 내지 약 15 원자%, 약 12 원자% 내지 약 15 원자%, 약 0.01 원자% 내지 약 10 원자%, 약 0.1 원자% 내지 약 10 원자%, 약 0.5 원자% 내지 약 10 원자%, 약 1 원자% 내지 약 10 원자%, 약 2 원자% 내지 약 10 원자%, 약 3 원자% 내지 약 10 원자%, 약 4 원자% 내지 약 10 원자%, 약 5 원자% 내지 약 10 원자%, 약 7 원자% 내지 약 10 원자%, 약 0.01 원자% 내지 약 5 원자%, 약 0.1 원자% 내지 약 5 원자%, 약 0.5 원자% 내지 약 5 원자%, 약 1 원자% 내지 약 5 원자%, 약 2 원자% 내지 약 5 원자%, 또는 약 3 원자% 내지 약 5 원자%의 도펀트의 농도 또는 백분율을 가질 수 있다.[0030] The doped diamondoid carbon film contains about 0.01 atomic %, about 0.05 atomic %, about 0.1 atomic %, about 0.3 atomic %, about 0.5 atomic %, about 0.8 atomic %, based on the total amount of atoms in the doped diamond-like carbon film. atomic %, about 1 atomic %, about 1.2 atomic %, about 1.5 atomic %, about 1.8 atomic %, about 2 atomic %, about 2.5 atomic %, or from about 2.8 atomic % to about 3 atomic %, about 3.5 atomic %, about 4 atomic%, about 5 atomic%, about 6 atomic%, about 7 atomic%, about 8 atomic%, about 9 atomic%, about 10 atomic%, about 12 atomic%, about 15 atomic%, about 18 atomic%, about 20 atomic %, about 25 atomic %, about 30 atomic %, or a concentration or percentage of the dopant. For example, the doped diamondoid carbon film may contain from about 0.01 atomic % to about 25 atomic %, from about 0.1 atomic % to about 25 atomic %, from about 0.5 atomic % to about 0.5 atomic % based on the total amount of atoms in the doped diamond-like carbon film. 25 atomic %, about 1 atomic % to about 25 atomic %, about 2 atomic % to about 25 atomic %, about 3 atomic % to about 25 atomic %, about 5 atomic % to about 25 atomic %, about 7 atomic % to about 25 atomic %, about 10 atomic % to about 25 atomic %, about 12 atomic % to about 25 atomic %, about 15 atomic % to about 25 atomic %, about 18 atomic % to about 25 atomic %, about 20 atomic % to about 25 atomic %, about 0.1 atomic % to about 20 atomic %, about 0.5 atomic % to about 20 atomic %, about 1 atomic % to about 20 atomic %, about 2 atomic % to about 20 atomic %, about 3 atomic % to about 20 atomic %, about 5 atomic % to about 20 atomic %, about 7 atomic % to about 20 atomic %, about 10 atomic % to about 20 atomic %, about 12 atomic % to about 20 atomic %, about 15 atomic % to about 20 atomic %, about 18 atomic % to about 20 atomic %, about 0.1 atomic % to about 18 atomic %, about 0.5 atomic % to about 18 atomic %, about 1 atomic % to about 18 atomic %, about 2 atomic % to about 18 atomic %, about 3 atomic % to about 18 atomic %, about 5 atomic % to about 18 atomic %, about 7 atomic % to about 18 atomic %, about 10 atomic % to about 18 atomic %, about 12 atomic % to about 18 atomic %, about 15 atomic % to about 18 atomic %, about 0.1 atomic % to about 15 atomic %, about 0.5 atomic % to about 15 atomic %, about 1 atomic % to about 15 atomic %, about 2 atomic % to about 15 atomic %, about 3 atomic % to about 15 atomic %, about 5 atomic % to about 15 atomic %, about 7 atomic % to about 15 atomic %, about 10 atomic % to about 15 atomic %, about 12 atomic % to about 15 atomic %, about 0.01 atomic % to about 10 atomic %, about 0.1 atomic % to about 10 atomic %, about 0.5 atomic % to about 10 atomic %, about 1 atomic % to about 10 atomic %, about 2 atomic % to about 10 atomic %, about 3 atomic % to about 10 atomic %, about 4 atomic % to about 10 atomic %, about 5 atomic % to about 10 atomic %, about 7 atomic % to about 10 atomic %, about 0.01 atomic % to about 5 atomic %, about 0.1 Atomic % to about 5 atomic %, about 0.5 atomic % to about 5 atomic %, about 1 atomic % to about 5 atomic %, about 2 atomic % to about 5 atomic %, or about 3 atomic % to about 5 atomic % dopant. may have a concentration or percentage of
[0031] 도핑된 다이아몬드형 탄소 필름은 2 g/cc 초과, 예컨대 약 2.1 g/cc, 약 2.2 g/cc, 약 2.3 g/cc, 약 2.4 g/cc, 약 2.5 g/cc, 약 2.6 g/cc, 약 2.7 g/cc, 약 2.8 g/cc, 약 2.9 g/cc, 또는 약 3 g/cc 내지 약 3.1 g/cc, 약 3.2 g/cc, 약 3.4 g/cc, 약 3.5 g/cc, 약 3.6 g/cc, 약 3.8 g/cc, 약 4 g/cc, 약 4.5 g/cc, 약 5 g/cc, 약 5.5 g/cc, 약 6 g/cc, 약 6.5 g/cc, 약 7 g/cc, 약 8 g/cc, 약 9 g/cc, 약 10 g/cc, 약 11 g/cc, 약 12 g/cc, 또는 그 초과의 밀도를 갖는다. 예를 들어, 도핑된 다이아몬드형 탄소 막은 2 g/cc 초과 내지 약 12 g/cc, 2 g/cc 초과 내지 약 10 g/cc, 2 g/cc 초과 내지 약 8 g/cc, 2 g/cc 초과 내지 약 7 g/cc, 2 g/cc 초과 내지 약 5 g/cc, 2 g/cc 초과 내지 약 4 g/cc, 2 g/cc 초과 내지 약 3 g/cc, 약 2.5 g/cc 이상 내지 약 12 g/cc, 약 2.5 g/cc 이상 내지 약 10 g/cc, 약 2.5 g/cc 이상 내지 약 8 g/cc, 약 2.5 g/cc 이상 내지 약 7 g/cc, 약 2.5 g/cc 이상 내지 약 5 g/cc, 약 2.5 g/cc 이상 내지 약 4 g/cc, 약 2.5 g/cc 이상 내지 약 3 g/cc, 약 3 g/cc 이상 내지 약 12 g/cc, 약 3 g/cc 이상 내지 약 10 g/cc, 약 3 g/cc 이상 내지 약 8 g/cc, 약 3 g/cc 이상 내지 약 7 g/cc, 약 3 g/cc 이상 내지 약 5 g/cc, 약 3 g/cc 이상 내지 약 4 g/cc, 또는 약 3 g/cc 이상 내지 약 3.5 g/cc의 밀도를 갖는다.[0031] The doped diamondoid carbon film contains greater than 2 g/cc, such as about 2.1 g/cc, about 2.2 g/cc, about 2.3 g/cc, about 2.4 g/cc, about 2.5 g/cc, about 2.6 g /cc, about 2.7 g/cc, about 2.8 g/cc, about 2.9 g/cc, or about 3 g/cc to about 3.1 g/cc, about 3.2 g/cc, about 3.4 g/cc, about 3.5 g/ cc, about 3.6 g/cc, about 3.8 g/cc, about 4 g/cc, about 4.5 g/cc, about 5 g/cc, about 5.5 g/cc, about 6 g/cc, about 6.5 g/cc, and about 7 g/cc, about 8 g/cc, about 9 g/cc, about 10 g/cc, about 11 g/cc, about 12 g/cc, or greater. For example, the doped diamondoid carbon film may have a density greater than 2 g/cc to about 12 g/cc, greater than 2 g/cc to about 10 g/cc, greater than 2 g/cc to about 8 g/cc, 2 g/cc Greater than about 7 g/cc, greater than 2 g/cc to about 5 g/cc, greater than 2 g/cc to about 4 g/cc, greater than 2 g/cc to about 3 g/cc, greater than or equal to about 2.5 g/cc to about 12 g/cc, greater than about 2.5 g/cc to about 10 g/cc, greater than about 2.5 g/cc to about 8 g/cc, greater than about 2.5 g/cc to about 7 g/cc, about 2.5 g/cc cc or more to about 5 g/cc, about 2.5 g/cc or more to about 4 g/cc, about 2.5 g/cc or more to about 3 g/cc, about 3 g/cc or more to about 12 g/cc, about 3 g/cc or greater to about 10 g/cc, greater than or equal to about 3 g/cc to about 8 g/cc, greater than or equal to about 3 g/cc to about 7 g/cc, greater than or equal to about 3 g/cc to about 5 g/cc, and a density of greater than about 3 g/cc to about 4 g/cc, or greater than about 3 g/cc to about 3.5 g/cc.
[0032] 도핑된 다이아몬드형 탄소 막은 약 5Å, 약 10Å, 약 50Å, 약 100Å, 약 150Å, 약 200Å, 또는 약 300Å 내지 약 400Å, 약 500Å, 약 800Å, 약 1,000Å, 약 2,000Å, 약 3,000Å, 약 5,000Å, 약 8,000Å, 약 10,000Å, 약 15,000Å, 약 20,000Å, 또는 더 두꺼운 두께를 가질 수 있다. 예를 들어, 도핑된 다이아몬드형 탄소 막은 약 5Å 내지 약 20,000Å, 약 5Å 내지 약 10,000Å, 약 5Å 내지 약 5,000Å, 약 5Å 내지 약 3,000Å, 약 5Å 내지 약 2,000Å, 약 5Å 내지 약 1,000Å, 약 5Å 내지 약 500Å, 약 5Å 내지 약 200Å, 약 5Å 내지 약 100Å, 약 5Å 내지 약 50Å, 약 300Å 내지 약 20,000Å, 약 300Å 내지 약 10,000Å, 약 00Å 내지 약 5,000Å, 약 300Å 내지 약 3,000Å, 약 300Å 내지 약 2,000Å, 약 300Å 내지 약 1,000Å, 약 300Å 내지 약 500Å, 약 300Å 내지 약 200Å, 약 300Å 내지 약 100Å, 약 300Å 내지 약 50Å, 약 1,000Å 내지 약 20,000Å, 약 1,000Å 내지 약 10,000Å, 약 1,000Å 내지 약 5,000Å, 약 1,000Å 내지 약 3,000Å, 약 1,000Å 내지 약 2,000Å, 약 2,000Å 내지 약 20,000Å, 또는 약 2,000Å 내지 약 3,000Å의 두께를 가질 수 있다.[0032] The doped diamondoid carbon film may have a density of about 5 Å, about 10 Å, about 50 Å, about 100 Å, about 150 Å, about 200 Å, or about 300 Å to about 400 Å, about 500 Å, about 800 Å, about 1,000 Å, about 2,000 Å, about 3,000 Å Å, about 5,000 Å, about 8,000 Å, about 10,000 Å, about 15,000 Å, about 20,000 Å, or greater. For example, a doped diamondoid carbon film may have a voltage range of about 5 Å to about 20,000 Å, about 5 Å to about 10,000 Å, about 5 Å to about 5,000 Å, about 5 Å to about 3,000 Å, about 5 Å to about 2,000 Å, or about 5 Å to about 1,000 Å. Å, from about 5 Å to about 500 Å, from about 5 Å to about 200 Å, from about 5 Å to about 100 Å, from about 5 Å to about 50 Å, from about 300 Å to about 20,000 Å, from about 300 Å to about 10,000 Å, from about 00 Å to about 5,000 Å, from about 300 Å About 3,000 Å, from about 300 Å to about 2,000 Å, from about 300 Å to about 1,000 Å, from about 300 Å to about 500 Å, from about 300 Å to about 200 Å, from about 300 Å to about 100 Å, from about 300 Å to about 50 Å, from about 1,000 Å to about 20,000 Å, A thickness of from about 1,000 Å to about 10,000 Å, from about 1,000 Å to about 5,000 Å, from about 1,000 Å to about 3,000 Å, from about 1,000 Å to about 2,000 Å, from about 2,000 Å to about 20,000 Å, or from about 2,000 Å to about 3,000 Å can have
[0033] 도핑된 다이아몬드형 탄소 막은 2 초과, 예컨대 약 2.1, 약 2.2, 약 2.3, 약 2,4 또는 약 2.5 내지 약 2.6, 약 2.7, 약 2.8, 약 2,9, 또는 약 3의 굴절률 또는 n-값((633 nm에서의) n)을 가질 수 있다. 예를 들어, 도핑된 다이아몬드형 탄소 막은 2 초과 내지 약 3, 2 초과 내지 약 2.8, 2 초과 내지 약 2.5, 2 초과 내지 약 2.3, 약 2.1 내지 약 3, 약 2.1 내지 약 2.8, 약 2.1 내지 약 2.5, 약 2.1 내지 약 2.3, 약 2.3 내지 약 3, 약 2.3 내지 약 2.8, 또는 약 2.3 내지 약 2.5의 굴절률 또는 n-값((633 nm에서의) n)을 가질 수 있다.[0033] The doped diamondoid carbon film has a refractive index greater than 2, such as about 2.1, about 2.2, about 2.3, about 2,4 or about 2.5 to about 2.6, about 2.7, about 2.8, about 2,9, or about 3, or It can have an n-value (n (at 633 nm)). For example, a doped diamondoid carbon film may have a range of greater than 2 to about 3, greater than 2 to about 2.8, greater than 2 to about 2.5, greater than 2 to about 2.3, about 2.1 to about 3, about 2.1 to about 2.8, or about 2.1 to about 2.5, about 2.1 to about 2.3, about 2.3 to about 3, about 2.3 to about 2.8, or about 2.3 to about 2.5, or a refractive index or n-value (n (at 633 nm)).
[0034] 도핑된 다이아몬드형 탄소 막은 0.1 초과, 예컨대 약 0.15, 약 0.2, 약 0.25, 또는 약 0.3의 흡광 계수 또는 k-값((633 nm에서의) K)을 가질 수 있다. 예를 들어, 도핑된 다이아몬드형 탄소 막은 0.1 초과 내지 약 0.3, 0.1 초과 내지 약 0.25, 0.1 초과 내지 약 0.2, 0.1 초과 내지 약 0.15, 약 0.2 내지 약 0.3, 또는 약 0.2 내지 약 0.25의 흡광 계수 또는 k-값((633 nm에서의) K)을 가질 수 있다.[0034] The doped diamondoid carbon film may have an extinction coefficient or k-value (K (at 633 nm)) greater than 0.1, such as about 0.15, about 0.2, about 0.25, or about 0.3. For example, the doped diamondoid carbon film has an extinction coefficient of greater than 0.1 to about 0.3, greater than 0.1 to about 0.25, greater than 0.1 to about 0.2, greater than 0.1 to about 0.15, about 0.2 to about 0.3, or about 0.2 to about 0.25; or It can have a k-value (K (at 633 nm)).
[0035] 도핑된 다이아몬드형 탄소 막은 -250 MPa 미만, -275 MPa 미만, 약 -300 MPa 이하, 약 -350 MPa 이하, 약 -400 MPa 이하, 약 -450 MPa 이하, 약 -500 MPa 이하, 약 -550 MPa 이하, 약 -600 MPa, 또는 그 미만의 응력을 가질 수 있다. 예를 들어, 도핑된 다이아몬드형 탄소 막 약 -600 MPa 내지 약 -300 MPa, 약 -600 MPa 내지 약 -350 MPa, 약 -600 MPa 내지 약 -400 MPa, 약 -600 MPa 내지 약 -450 MPa, 약 -600 MPa 내지 약 -500 MPa, 약 -600 MPa 내지 약 -550 MPa, 약 -550 MPa 내지 약 -300 MPa, 약 -550 MPa 내지 약 -350 MPa, 약 -550 MPa 내지 약 -400 MPa, 약 -550 MPa 내지 약 -450 MPa, 약 -550 MPa 내지 약 -500 MPa, 약 -500 MPa 내지 약 -300 MPa, 약 -500 MPa 내지 약 -350 MPa, 약 -500 MPa 내지 약 -400 MPa, 또는 약 -500 MPa 내지 약 -450 MPa의 응력을 가질 수 있다.[0035] The doped diamondoid carbon film is less than -250 MPa, less than -275 MPa, less than about -300 MPa, less than about -350 MPa, less than about -400 MPa, less than about -450 MPa, less than about -500 MPa, less than about may have a stress of -550 MPa or less, about -600 MPa, or less. For example, a doped diamondoid carbon film about -600 MPa to about -300 MPa, about -600 MPa to about -350 MPa, about -600 MPa to about -400 MPa, about -600 MPa to about -450 MPa, About -600 MPa to about -500 MPa, about -600 MPa to about -550 MPa, about -550 MPa to about -300 MPa, about -550 MPa to about -350 MPa, about -550 MPa to about -400 MPa, About -550 MPa to about -450 MPa, about -550 MPa to about -500 MPa, about -500 MPa to about -300 MPa, about -500 MPa to about -350 MPa, about -500 MPa to about -400 MPa, or about -500 MPa to about -450 MPa.
[0036] 도핑된 다이아몬드형 탄소 막은 150 GPa 초과, 예컨대 약 175 GPa, 약 200 GPa, 또는 약 250 GPa 내지 약 275 GPa, 약 300 GPa, 약 325 GPa, 약 350 GPa, 약 375 GPa, 또는 약 400 GPa의 탄성 모듈러스를 가질 수 있다. 예를 들어, 도핑된 다이아몬드형 탄소 막은 150 GPa 초과 내지 약 400 GPa, 150 GPa 초과 내지 약 375 GPa, 150 GPa 초과 내지 약 350 GPa, 150 GPa 초과 내지 약 300 GPa, 150 GPa 초과 내지 약 250 GPa, 약 175 GPa 내지 약 400 GPa, 약 175 GPa 내지 약 375 GPa, 약 175 GPa 내지 약 350 GPa, 약 175 GPa 내지 약 300 GPa, 약 175 GPa 내지 약 250 GPa, 약 200 GPa 내지 약 400 GPa, 약 200 GPa 내지 약 375 GPa, 약 200 GPa 내지 약 350 GPa, 약 200 GPa 내지 약 300 GPa, 또는 약 200 GPa 내지 약 250 GPa의 탄성 모듈러스를 가질 수 있다.[0036] The doped diamondoid carbon film may have a density greater than 150 GPa, such as about 175 GPa, about 200 GPa, or about 250 GPa to about 275 GPa, about 300 GPa, about 325 GPa, about 350 GPa, about 375 GPa, or about 400 GPa. It may have an elastic modulus of GPa. For example, the doped diamondoid carbon film may have a density greater than 150 GPa to about 400 GPa, greater than 150 GPa to about 375 GPa, greater than 150 GPa to about 350 GPa, greater than 150 GPa to about 300 GPa, greater than 150 GPa to about 250 GPa, About 175 GPa to about 400 GPa, about 175 GPa to about 375 GPa, about 175 GPa to about 350 GPa, about 175 GPa to about 300 GPa, about 175 GPa to about 250 GPa, about 200 GPa to about 400 GPa, about 200 GPa to about 375 GPa, about 200 GPa to about 350 GPa, about 200 GPa to about 300 GPa, or about 200 GPa to about 250 GPa.
[0037] 일부 실시예들에서, 도핑된 다이아몬드형 탄소 막은 극자외선("EUV") 리소그래피 프로세스를 위한 하부층이다. 일부 예들에서, 질소-도핑된 다이아몬드형 탄소 막은 EUV 리소그래피 프로세스를 위한 하부층이고, 막 내의 탄소 원자들의 총량을 기준으로 약 40% 내지 약 90%의 sp3 혼성화 탄소 원자 함량, 2 g/cc 초과 내지 약 12 g/cc의 밀도, 및 약 150 GPa 이상 내지 약 400 GPa의 탄성 모듈러스를 갖는다. [0037] In some embodiments, the doped diamondoid carbon film is the underlying layer for an extreme ultraviolet ("EUV") lithography process. In some examples, the nitrogen-doped diamondoid carbon film is an underlayer for an EUV lithography process and has an sp 3 hybridized carbon atom content of about 40% to about 90%, based on the total amount of carbon atoms in the film, greater than 2 g/cc to It has a density of about 12 g/cc, and an elastic modulus of greater than or equal to about 150 GPa to about 400 GPa.
[0038] 도 1a는 본원에 설명된 실시예들에 따라 도핑된 다이아몬드형 탄소 막 증착을 수행하는 데 사용될 수 있는 기판 프로세싱 시스템(132)의 개략도를 도시하고 있다. 기판 프로세싱 시스템(132)은 가스 패널(gas panel)(130) 및 제어기(110)에 결합된 프로세스 챔버(100)를 포함한다. 프로세스 챔버(100)는, 일반적으로 프로세싱 용적부(126)를 한정하는, 상부 벽(124), 측벽(101) 및 하부 벽(122)을 포함한다. 프로세스 챔버(100)의 프로세싱 용적부(126)에는 기판 지지 조립체(146)가 제공된다. 기판 지지 조립체(146)는 일반적으로 스템(stem)(160)에 의해 지지된 정전 척(150)을 포함한다. 정전 척(150)은 통상적으로 알루미늄, 세라믹 및 다른 적합한 재료들로 제조될 수 있다. 정전 척(150)은 변위 메커니즘(displacement mechanism)(도시되지 않음)을 사용하여 프로세스 챔버(100) 내부에서 수직 방향으로 이동될 수 있다.[0038] FIG. 1A shows a schematic diagram of a
[0039] 진공 펌프(102)가 프로세스 챔버(100)의 하부에 형성된 포트(port)에 결합된다. 진공 펌프(102)는 프로세스 챔버(100)에서 원하는 가스 압력을 유지하는 데 사용된다. 진공 펌프(102)는 또한 프로세스 챔버(100)로부터 프로세스의 프로세싱-후 가스들 및 부산물들을 진공 배기시킨다.[0039] A
[0040] 기판 프로세싱 시스템(132)은 챔버 압력을 제어하기 위한 추가 장비, 예를 들어 챔버 압력을 제어하기 위해 프로세스 챔버(100)와 진공 펌프(102) 사이에 포지셔닝된 밸브들(예를 들어, 스로틀 밸브들(throttle valves) 및 차단 밸브들)을 추가로 포함할 수 있다.[0040] The
[0041] 정전 척(150) 위의 프로세스 챔버(100)의 상부 상에는 복수의 개구들(128)을 갖는 가스 분배 조립체(120)가 배치된다. 가스 분배 조립체(120)의 개구들(128)은 프로세스 가스들(예컨대, 증착 가스, 희석 가스, 캐리어 가스, 퍼지 가스)을 프로세스 챔버(100) 내로 도입하는 데 이용된다. 개구들(128)은 상이한 프로세스 요구사항들에 대한 다양한 프로세싱 가스들의 유동을 용이하게 하기 위해 상이한 크기들, 수, 분포들, 형상, 설계 및 직경들을 가질 수 있다. 가스 분배 조립체(120)는, 다양한 가스들이 프로세싱 동안에 프로세싱 용적부(126)에 공급될 수 있게 하는 가스 패널(130)에 연결된다. 가스 분배 조립체(120)를 빠져나가는 프로세싱 가스 혼합물로부터 플라즈마가 형성되어 프로세싱 가스들의 열 분해를 향상시켜서 기판(190)의 표면(191) 상에 재료의 증착을 초래한다.[0041] On top of the
[0042] 가스 분배 조립체(120) 및 정전 척(150)은 프로세싱 용적부(126)에 한 쌍의 이격된 전극들을 형성할 수 있다. 하나 이상의 RF 전원(140)은 선택적인 정합 네트워크(matching network)(138)를 통해 가스 분배 조립체(120)에 바이어스 전위를 제공하여 가스 분배 조립체(120)와 정전 척(150) 사이에서의 플라즈마 생성을 용이하게 한다. 대안적으로, RF 전원(140) 및 정합 네트워크(138)는 가스 분배 조립체(120) 또는 정전 척(150)에 결합되거나, 가스 분배 조립체(120) 및 정전 척(150) 둘 모두에 결합되거나, 프로세스 챔버(100)의 외부에 배치된 안테나(도시되지 않음)에 결합될 수 있다. 하나 이상의 실시예들에서, RF 전원(140)은 약 350 KHz, 약 2 MHz, 약 13.56 MHz, 약 27 MHz, 약 40 MHz, 약 60 MHz, 또는 약 100 MHz의 주파수에서 전력을 생성할 수 있다. 일부 예들에서, RF 전원(140)은 약 50 kHz 내지 약 13.6 MHz의 주파수에서 약 100 와트 내지 약 3,000 와트의 전력을 제공할 수 있다. 다른 예들에서, RF 전원(140)은 약 50 kHz 내지 약 13.6 MHz의 주파수에서 약 500 와트 내지 약 1,800 와트의 전력을 제공할 수 있다.[0042] The
[0043] 제어기(110)는 프로세스 시퀀스를 제어하고 가스 패널(130)로부터의 가스 유동들을 조절하는 데 이용되는 중앙 프로세싱 유닛(CPU)(112), 메모리(116) 및 지원 회로(114)를 포함한다. CPU(112)는 산업 세팅에 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들(software routines)은 랜덤 액세스 메모리(random access memory), 판독 전용 메모리(read only memory), 플로피(floppy) 또는 하드디스크 드라이브(hard disk drive) 또는 다른 형태의 디지털 저장장치와 같은 메모리(116)에 저장될 수 있다. 지원 회로(114)는 통상적으로 CPU(112)에 결합되고, 캐시(cache), 클록 회로들(clock circuits), 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(110)와 기판 프로세싱 시스템(132)의 다양한 구성요소들 사이의 양방향 통신들은 총괄하여 신호 버스들(signal buses)(118)로 지칭되는 다수의 신호 케이블들을 통해 핸들링(handling)되며, 이들 중 일부가 도 1a에 도시되어 있다.[0043] The
[0044] 도 1b는 본원에 설명된 실시예들의 실시에 사용될 수 있는 다른 기판 프로세싱 시스템(180)의 개략적인 단면도를 도시하고 있다. 기판 프로세싱 시스템(180)은, 기판 프로세싱 시스템(180)이 프로세싱 가스들을 가스 패널(130)로부터 측벽(101)을 통해 기판(190)의 표면(191)을 가로질러 유동시키도록 구성된다는 점을 제외하고는, 도 1a의 기판 프로세싱 시스템(132)과 유사하다. 또한, 도 1a에 도시된 가스 분배 조립체(120)는 전극(182)으로 대체된다. 전극(182)은 이차 전자를 생성하도록 구성될 수 있다. 하나 이상의 실시예들에서, 전극(182)은 실리콘-함유 전극이다.[0044] FIG. 1B shows a schematic cross-sectional view of another
[0045] 도 2는 본원에 설명된 실시예들의 실시에 사용될 수 있는, 도 1a 및 도 1b의 프로세싱 시스템들에 사용되는 기판 지지 조립체(146)의 개략적인 단면도를 도시하고 있다. 도 2를 참조하면, 정전 척(150)은 정전 척(150)의 상부 표면(192) 상에 지지된 기판(190)의 온도를 제어하기에 적합한 히터 요소(heater element)(170)를 포함할 수 있다. 히터 요소(170)는 정전 척(150) 내에 매립될 수 있다. 정전 척(150)은 히터 전원(heater power source)(106)으로부터 히터 요소(170)에 전류를 인가함으로써 저항 가열될 수 있다. 히터 전원(106)은 RF 필터(RF filter)(216)를 통해 결합될 수 있다. RF 필터(216)는 RF 에너지로부터 히터 전원(106)을 보호하는 데 사용될 수 있다. 히터 요소(170)는 니켈-철-크롬 합금(예를 들어, INCOLOY® 합금) 시스 튜브(sheath tube)로 캡슐화된 니켈-크롬 와이어로 제조될 수 있다. 히터 전원(106)으로부터 공급된 전류는 히터 요소(170)에 의해 발생된 열을 제어하도록 제어기(110)에 의해 조절되고, 그에 따라 막 증착 동안에 기판(190) 및 정전 척(150)을 실질적으로 일정한 온도로 유지한다. 공급된 전류는 약 -50℃ 내지 약 600℃ 사이에서 정전 척(150)의 온도를 선택적으로 제어하도록 조정될 수 있다.[0045] FIG. 2 shows a schematic cross-sectional view of a
[0046] 도 1을 참조하면, 정전 척(150)의 온도를 통상적인 방식으로 모니터링하기 위해 열전쌍(thermocouple)과 같은 온도 센서(172)가 정전 척(150) 내에 매립될 수 있다. 측정된 온도는 기판을 원하는 온도로 유지하기 위해 히터 요소(170)에 공급되는 전력을 제어하도록 제어기(110)에 의해 사용된다.Referring to FIG. 1 , a
[0047] 정전 척(150)은 전도성 재료의 메시(mesh)일 수 있는 척킹 전극(chucking electrode)(210)을 포함한다. 척킹 전극(210)은 정전 척(150) 내에 매립될 수 있다. 척킹 전극(210)은 척킹 전원(chucking power source)(212)에 결합되어, 에너자이징되는 경우, 기판(190)을 정전 척(150)의 상부 표면(192)에 정전기적으로 클램핑(clamp)한다.[0047] The
[0048] 척킹 전극(210)은 모노폴라(monopolar) 또는 바이폴라(bipolar) 전극으로 구성될 수 있거나, 다른 적합한 배열을 가질 수 있다. 척킹 전극(210)은 RF 필터(214)를 통해 척킹 전원(212)에 결합될 수 있고, 척킹 전원(212)은 정전 척(150)의 상부 표면(192)에 기판(190)을 정전기적으로 고정하기 위해 직류(DC) 전력을 제공한다. RF 필터(214)는 프로세스 챔버(100) 내에 플라즈마를 형성하는 데 이용되는 RF 전력이 전기 장비를 손상시키거나 챔버 외부에 전기적 위험을 야기하는 것을 방지한다. 정전 척(150)은 알루미늄 질화물 또는 알루미늄 산화물(예컨대, 알루미나)과 같은 세라믹 재료로 제조될 수 있다. 대안적으로, 정전 척(150)은 폴리이미드, 폴리에테르에테르케톤(PEEK), 폴리아릴에테르케톤(PAEK) 등과 같은 중합체로 제조될 수 있다.[0048] The chucking
[0049] 전력 인가 시스템(220)은 기판 지지 조립체(146)에 결합된다. 전력 인가 시스템(220)은 히터 전원(106), 척킹 전원(212), 제1 무선 주파수(RF) 전원(230) 및 제2 RF 전원(240)을 포함할 수 있다. 전력 인가 시스템(220)은 제어기(110)와, 제어기(110) 및 제1 RF 전원(230) 및 제2 RF 전원(240) 둘 모두와 통신하는 센서 디바이스(sensor device)(250)를 추가로 포함할 수 있다. 제어기(110)는 또한 기판(190) 상에 재료 층을 증착하기 위해 제1 RF 전원(230) 및 제2 RF 전원(240)으로부터 RF 전력을 인가함으로써 프로세싱 가스로부터 플라즈마를 제어하는 데 이용될 수 있다.[0049] The
[0050] 전술한 바와 같이, 정전 척(150)은, 제1 RF 전극으로서 기능하면서도 일 양상에서 기판(190)을 척킹하도록 기능할 수 있는 척킹 전극(210)을 포함한다. 정전 척(150)은 또한 제2 RF 전극(260)을 포함할 수 있고, 척킹 전극(210)과 함께, RF 전력을 인가하여 플라즈마를 튜닝(tuning)할 수 있다. 제1 RF 전원(230)은 제2 RF 전극(260)에 결합될 수 있는 한편, 제2 RF 전원(240)은 척킹 전극(210)에 결합될 수 있다. 제1 RF 전원(230) 및 제2 RF 전원(240) 각각에는 제1 정합 네트워크 및 제2 정합 네트워크가 제공될 수 있다. 제2 RF 전극(260)은 도시된 바와 같은 전도성 재료의 고형 금속 플레이트(solid metal plate)일 수 있다. 대안적으로, 제2 RF 전극(260)은 전도성 재료의 메시일 수 있다.[0050] As described above, the
[0051] 제1 RF 전원(230) 및 제2 RF 전원(240)은 동일한 주파수 또는 상이한 주파수에서 전력을 생성할 수 있다. 하나 이상의 실시예들에서, 제1 RF 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 모두는 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 독립적으로 전력을 생성할 수 있다. 하나 이상의 실시예들에서, 제1 RF 전원(230)은 13.56 MHz의 주파수에서 전력을 생성할 수 있고, 제2 RF 전원(240)은 2 MHz의 주파수에서 전력을 생성할 수 있거나, 그 반대로도 가능하다. 제1 RF 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 모두로부터의 RF 전력은 플라즈마를 튜닝하기 위해 변화될 수 있다. 예를 들어, 센서 디바이스(250)는 제1 RF 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 모두로부터의 RF 에너지를 모니터링하는 데 사용될 수 있다. 센서 디바이스(250)로부터의 데이터는 제어기(110)로 전달될 수 있고, 제어기(110)는 제1 RF 전원(230) 및 제2 RF 전원(240)에 의해 인가된 전력을 변화시키는 데 이용될 수 있다.[0051] The first
[0052] 하나 이상의 실시예들에서, 정전 척(150)은 척킹 전극(210) 및 RF 전극을 서로 분리되어 있고, 제1 RF 바이어스가 RF 전극(260)에 인가될 수 있고, 제2 RF 바이어스가 척킹 전극(210)에 인가될 수 있다. 하나 이상의 예들에서, 제1 RF 바이어스는 약 350 KHz 내지 약 100 MHz의 주파수에서 약 10 와트 내지 약 3,000 와트의 전력으로 제공되고, 제2 RF 바이어스는 약 350 KHz 내지 약 100 MHz의 주파수에서 약 10 와트 내지 약 3,000 와트의 전력으로 제공된다. 다른 예들에서, 제1 RF 바이어스는 약 13.56 MHz의 주파수에서 약 2,500 와트 내지 약 3,000 와트의 전력으로 제공되고, 제2 RF 바이어스는 약 2 MHz의 주파수에서 약 800 와트 내지 약 1,200 와트의 전력으로 제공된다.[0052] In one or more embodiments, the
[0053] 하나 이상의 실시예들에서, 하나 이상의 탄화수소 화합물들 및 하나 이상의 도펀트 화합물들을 함유하는 증착 가스가 프로세스 챔버, 예컨대 PE-CVD 챔버의 프로세싱 볼륨 내로 유동되거나 또는 달리 도입될 수 있다. 탄화수소 화합물 및 도펀트 화합물은 프로세싱 볼륨 내로 독립적으로 유동되거나 또는 도입될 수 있다. 일부 예들에서, 하나 이상의 기판들은 프로세스 챔버에서 정전 척 상에 포지셔닝된다. 정전 척은 척킹 전극과 RF 전극이 서로 분리되어 있을 수 있다. 플라즈마는 RF 전극에 제1 RF 바이어스를 인가하고 척킹 전극에 제2 RF 바이어스를 인가함으로써 기판에서 또는 기판 근처에서(예컨대, 기판 레벨) 점화되거나 달리 생성될 수 있다. 도핑된 다이아몬드형 탄소 막이 기판 상에 증착되거나 또는 달리 형성된다. 일부 실시예들에서, 패터닝된 포토레지스트 층이 도핑된 다이아몬드형 탄소 막 위에 증착되거나 달리 형성될 수 있으며, 도핑된 다이아몬드형 탄소 막은 패터닝된 포토레지스트 층에 대응하는 패턴으로 에칭되거나, 달리 형성되고, 패턴은 기판 내에 에칭되거나 달리 형성된다.[0053] In one or more embodiments, a deposition gas containing one or more hydrocarbon compounds and one or more dopant compounds may be flowed or otherwise introduced into the processing volume of a process chamber, such as a PE-CVD chamber. The hydrocarbon compound and dopant compound may be independently flowed or introduced into the processing volume. In some examples, one or more substrates are positioned on an electrostatic chuck in a process chamber. In the electrostatic chuck, the chucking electrode and the RF electrode may be separated from each other. A plasma may be ignited or otherwise generated at or near the substrate (eg, at substrate level) by applying a first RF bias to the RF electrode and a second RF bias to the chucking electrode. A doped diamondoid carbon film is deposited or otherwise formed on the substrate. In some embodiments, a patterned photoresist layer may be deposited or otherwise formed over the doped diamond-like carbon film, the doped diamond-like carbon film etched or otherwise formed in a pattern corresponding to the patterned photoresist layer; A pattern is etched or otherwise formed into the substrate.
[0054] 일반적으로, 하기 예시적인 증착 프로세스 파라미터들은 도핑된 다이아몬드형 탄소 막을 형성하기 위해 사용될 수 있다. 기판 온도는 약 50℃ 내지 약 350℃(예를 들어, 약 10℃ 내지 약 100℃; 또는 약 10℃ 내지 약 50℃)의 범위일 수 있다. 챔버 압력은 약 0.5 mTorr 내지 약 10 Torr(예를 들어, 약 2 mTorr 내지 약 50 mTorr; 또는 약 2 mTorr 내지 약 10 mTorr)의 압력으로부터의 범위일 수 있다. 탄화수소 화합물의 유량은 약 10 sccm 내지 약 1,000 sccm(예를 들어, 약 100 sccm 내지 약 200 sccm 또는 약 150 sccm 내지 약 200 sccm)일 수 있다. 도펀트의 유량은 약 1 sccm 내지 약 500 sccm(예를 들어, 약 10 sccm 내지 약 150 sccm 또는 약 20 sccm 내지 약 100 sccm)일 수 있다. 희석 가스 또는 퍼지 가스의 유량은 약 50 sccm 내지 약 50,000 sccm(예를 들어, 약 50 sccm 내지 약 500 sccm; 또는 약 50 sccm 내지 약 100 sccm)일 수 있다.[0054] In general, the following exemplary deposition process parameters may be used to form a doped diamondoid carbon film. The substrate temperature may range from about 50°C to about 350°C (eg, from about 10°C to about 100°C; or from about 10°C to about 50°C). The chamber pressure may range from a pressure of about 0.5 mTorr to about 10 Torr (eg, about 2 mTorr to about 50 mTorr; or about 2 mTorr to about 10 mTorr). The flow rate of the hydrocarbon compound may be about 10 sccm to about 1,000 sccm (eg, about 100 sccm to about 200 sccm or about 150 sccm to about 200 sccm). The flow rate of the dopant may be between about 1 sccm and about 500 sccm (eg, between about 10 sccm and about 150 sccm or between about 20 sccm and about 100 sccm). The flow rate of the dilution gas or purge gas may be between about 50 sccm and about 50,000 sccm (eg, between about 50 sccm and about 500 sccm; or between about 50 sccm and about 100 sccm).
[0055] 도핑된 다이아몬드형 탄소 막은 약 5Å 내지 약 20,000Å(예를 들어, 약 300Å 내지 약 5,000Å; 약 2,000Å 내지 약 3,000Å, 또는 약 5Å 내지 약 200Å)의 두께로 증착될 수 있다. 표 1에 도시된 상기 프로세스 파라미터들은 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수 가능한 증착 챔버 내의 300 mm 기판에 대한 프로세스 파라미터들의 예들을 제공한다.[0055] The doped diamondoid carbon film may be deposited to a thickness of about 5 Å to about 20,000 Å (eg, about 300 Å to about 5,000 Å; about 2,000 Å to about 3,000 Å, or about 5 Å to about 200 Å). The process parameters shown in Table 1 provide examples of process parameters for a 300 mm substrate in a deposition chamber commercially available from Applied Materials, Inc. of Santa Clara, Calif.
[0056] 도핑된 다이아몬드형 탄소 막은 2.0 초과, 예를 들어 약 2.1 내지 약 3.0, 예컨대 2.3의 굴절률 또는 n-값((633 nm에서의) n)을 가질 수 있다. 도핑된 다이아몬드형 탄소 막은 0.1 초과, 예를 들어 약 0.2 내지 약 0.3, 예컨대 0.25의 흡광 계수 또는 k-값((633 nm에서의) K)을 가질 수 있다. 도핑된 다이아몬드형 탄소 막은 -100 MPa 미만, 예를 들어 약 -1,000 MPa 내지 약 -100 MPa, 약 -600 MPa 내지 약 -300 MPa, 약 -600 MPa 내지 약 -500 MPa, 예컨대 약 -550 MPa의 응력(MPa)을 가질 수 있다. 도핑된 다이아몬드형 탄소 막은 2 g/cc 초과, 예를 들어 약 2.5 g/cc 이상, 약 2.8 g/cc 이상, 예컨대 약 3 g/cc 내지 약 3 g/cc 내지 약 12 g/cc의 밀도(g/cc)를 가질 수 있다. 도핑된 다이아몬드형 탄소 막은 150 GPa 초과, 예를 들어 약 200 GPa 내지 약 400 GPa의 탄성 모듈러스(GPa)를 가질 수 있다.[0056] The doped diamondoid carbon film may have a refractive index or n-value (n (at 633 nm)) greater than 2.0, for example from about 2.1 to about 3.0, such as 2.3. The doped diamondoid carbon film may have an extinction coefficient or k-value (K (at 633 nm)) greater than 0.1, for example from about 0.2 to about 0.3, such as 0.25. The doped diamondoid carbon film is less than -100 MPa, such as about -1,000 MPa to about -100 MPa, about -600 MPa to about -300 MPa, about -600 MPa to about -500 MPa, such as about -550 MPa. It may have a stress (MPa). The doped diamondoid carbon film has a density greater than 2 g/cc, for example greater than about 2.5 g/cc, greater than about 2.8 g/cc, such as from about 3 g/cc to about 3 g/cc to about 12 g/cc ( g/cc). The doped diamondoid carbon film can have a modulus of elasticity (GPa) greater than 150 GPa, such as from about 200 GPa to about 400 GPa.
[0057] 도 3은 본 개시내용의 일 실시예에 따라 기판 상에 배치된 막 스택 상에 도핑된 다이아몬드형 탄소 막을 형성하기 위한 방법(300)의 흐름도를 도시하고 있다. 막 스택 상에 형성된 도핑된 다이아몬드형 탄소 막은 예컨대 막 스택에 계단형 구조체(stair-like structure)들을 형성하기 위한 하드마스크로서 이용될 수 있다. 도 4a 및 도 4b는 방법(300)에 따라 기판 상에 배치된 막 스택 상에 도핑된 다이아몬드형 탄소 막을 형성하기 위한 시퀀스를 도시하는 개략적인 단면도들이다. 방법(300)이, 3 차원 반도체 디바이스들을 위한 계단형 구조체들을 막 스택에 제조하는 데 이용되는 막 스택 상에 형성될 수 있는 하드마스크 층을 참조하여 하기에서 설명되지만, 방법(300)은 또한 다른 디바이스 제조 애플리케이션들에 유리하게 사용될 수 있다. 또한, 도 3에 나타낸 동작들이 도 3에 나타낸 순서와 상이한 순서로 그리고/또는 동시에 수행될 수 있다는 것도 이해되어야 한다.[0057] Figure 3 shows a flow diagram of a
[0058] 방법(300)은 동작(310)에서, 도 4a에 도시된 기판(402)과 같은 기판을, 도 1a 또는 도 1b에 도시된 프로세스 챔버(100)와 같은 프로세스 챔버의 프로세싱 볼륨 내에 포지셔닝시킴으로써 시작된다. 기판(402)은 도 1a, 도 1b 및 도 2에 도시된 기판(190)일 수 있다. 기판(402)은 정전 척, 예컨대 정전 척(150)의 상부 표면(192) 상에 포지셔닝될 수 있다. 기판(402)은 실리콘계 재료, 또는 필요에 따라 임의의 적합한 절연 재료 또는 전도성 재료일 수 있으며, 막 스택(404)에 계단형 구조체들과 같은 구조체(400)를 형성하는 데 이용될 수 있는, 기판(402) 상에 배치된 막 스택(404)을 갖는다.[0058]
[0059] 도 4a에 도시된 실시예에 나타낸 바와 같이, 기판(402)은 실질적으로 평탄한 표면, 평평하지 않은 표면(uneven surface), 또는 구조체가 그 위에 형성되어 있는 실질적으로 평탄한 표면을 가질 수 있다. 막 스택(404)은 기판(402) 상에 형성된다. 하나 이상의 실시예들에서, 막 스택(404)은 프론트 엔드(front end) 또는 백 엔드(back end) 프로세스에서 게이트 구조체(gate structure), 접촉 구조체 또는 상호연결 구조체를 형성하는 데 이용될 수 있다. 방법(300)은 NAND 구조체와 같은 메모리 구조체에 사용되는 계단형 구조체들을 막 스택(404)에 형성하기 위해, 막 스택(404) 상에 수행될 수 있다. 하나 이상의 실시예들에서, 기판(402)은 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 기판들 및 패터닝되거나 패터닝되지 않은 기판들 실리콘 온 인슐레이터(silicon on insulator; SOI), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료일 수 있다. 기판(402)은 다양한 치수들, 예컨대 200 ㎜, 300 ㎜, 450 ㎜, 또는 다른 직경의 기판들뿐만 아니라 직사각형 또는 정사각형 패널들을 가질 수 있다. 달리 언급되지 않는 한, 본원에 설명된 실시예들 및 예들은 200 ㎜ 직경, 300 ㎜ 직경 또는 450 ㎜ 직경을 갖는 기판들 상에 실행된다. SOI 구조체가 기판(402)에 이용되는 실시예에서, 기판(402)은 실리콘 결정질 기판 상에 배치된 매립된 유전체 층을 포함할 수 있다. 본원에 나타낸 하나 이상의 실시예들에서, 기판(402)은 결정질 실리콘 기판일 수 있다.[0059] As shown in the embodiment shown in FIG. 4A, the
[0060] 하나 이상의 실시예들에서, 기판(402) 상에 배치된 막 스택(404)은 다수의 수직 적층된 층들을 가질 수 있다. 막 스택(404)은 막 스택(404)에 반복적으로 형성된 제1 층(408a1, 408a2, 408a3, ……, 408an으로 도시됨) 및 제2 층(408b1, 408b2, 408b3, ……, 408bn으로 도시됨)을 포함하는 쌍들을 포함할 수 있다. 쌍들은 제1 층들과 제2 층들의 원하는 개수의 쌍들이 도달될 때까지 반복적으로 형성된, 제1 층(408a1, 408a2, 408a3, ……, 408an으로 도시됨) 및 제2 층(408b1, 408b2, 408b3, ……, 408bn으로 도시됨)을 교대로 포함한다.[0060] In one or more embodiments, the
[0061] 막 스택(404)은 3 차원 메모리 칩(memory chip)과 같은 반도체 칩의 일부일 수 있다. 제1 층들(408a1, 408a2, 408a3, ……, 408an으로 도시됨) 및 제2 층들(408b1, 408b2, 408b3, ……, 408bn으로 도시됨)의 3 개의 반복 층들이 도 4a 및 도 4b에 도시되어 있지만, 필요에 따라 제1 및 제2 층들의 임의의 원하는 수의 반복 쌍들이 이용될 수 있다는 것이 주목된다.[0061] The
[0062] 하나 이상의 실시예들에서, 막 스택(404)은 3 차원 메모리 칩을 위한 다중 게이트 구조체들을 형성하는 데 이용될 수 있다. 막 스택(404)에 형성된 제1 층들(408a1, 408a2, 408a3, ……, 408an)은 제1 유전체 층일 수 있고, 제2 층들(408b1, 408b2, 408b3, ……, 408bn)은 제2 유전체 층일 수 있다. 제1 층들(408a1, 408a2, 408a3, ……, 408an) 및 제2 층들(408b1, 408b2, 408b3, ……, 408bn)을 형성하는 데 이용될 수 있는 적합한 유전체 층들은, 그 중에서도, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 실리콘 산탄화물, 티타늄 질화물, 산화물과 질화물의 복합물, 질화물 층을 개재하는 적어도 하나 이상의 산화물 층들, 및 이들의 조합들을 포함한다. 하나 이상의 실시예들에서, 유전체 층들은 4 초과의 유전 상수를 갖는 하이(high)-k 재료일 수 있다. 하이-k 재료들의 적합한 예들은, 그 중에서도, 하프늄 산화물, 지르코늄 산화물, 티타늄 산화물, 하프늄 실리콘 산화물 또는 하프늄 실리케이트, 하프늄 알루미늄 산화물, 또는 하프늄 알루미네이트, 지르코늄 실리콘 산화물, 또는 지르코늄 실리케이트, 탄탈륨 산화물, 알루미늄 산화물, 알루미늄 도핑된 하프늄 이산화물, 비스무트 스트론튬 티타늄(BST) 및 백금 지르코늄 티타늄(PZT), 이들의 도펀트들, 또는 이들의 임의의 조합을 포함한다.[0062] In one or more embodiments, the
[0063] 하나 이상의 예들에서, 제1 층들(408a1, 408a2, 408a3, ……, 408an)은 실리콘 산화물 층들이고, 제2 층들(408b1, 408b2, 408b3, ……, 408bn)은 제1 층들(408a1, 408a2, 408a3, ……, 408an) 상에 배치된 실리콘 질화물 층들 또는 폴리실리콘 층들이다. 하나 이상의 실시예들에서, 제1 층들(408a1, 408a2, 408a3, ……, 408an)의 두께는 약 50 Å 내지 약 1000 Å, 예컨대 약 500 Å으로 제어될 수 있고, 각각의 제2 층들(408b1, 408b2, 408b3, ……, 408bn)의 두께는 약 50 Å 내지 약 1,000 Å, 예컨대 약 500 Å가 되도록 제어될 수 있다. 막 스택(404)은 약 100 Å 내지 약 2,000 Å의 총 두께를 가질 수 있다. 하나 이상의 실시예들에서, 막 스택(404)의 총 두께는 약 3 미크론 내지 약 10 미크론이며, 기술이 발전함에 따라 변할 수 있다.[0063] In one or more examples, the first layers 408a 1 , 408a 2 , 408a 3 , ..., 408a n are silicon oxide layers, and the second layers 408b 1 , 408b 2 , 408b 3 , ..., 408b n ) are silicon nitride layers or polysilicon layers disposed on the first layers 408a 1 , 408a 2 , 408a 3 , ..., 408a n . In one or more embodiments, the thickness of the first layers 408a 1 , 408a 2 , 408a 3 , ..., 408a n may be controlled between about 50 Å and about 1000 Å, such as about 500 Å, and each The thickness of the two layers 408b 1 , 408b 2 , 408b 3 , ..., 408b n may be controlled to be about 50 Å to about 1,000 Å, such as about 500 Å. The
[0064] 도핑된 다이아몬드형 탄소 막은 기판(402) 상에 존재하는 막 스택(404)을 갖거나 갖지 않는 기판(402)의 임의의 표면들 또는 임의의 부분 상에 형성될 수 있다는 것이 주목된다.[0064] It is noted that the doped diamondoid carbon film may be formed on any surfaces or any portion of the
[0065] 동작(320)에서, 척킹 전압이 정전 척에 인가되고 기판(402)이 정전 척에 클램핑되거나 또는 달리 배치된다. 하나 이상의 실시예들에서, 기판(402)이 정전 척(150)의 상부 표면(192) 상에 포지셔닝되는 경우, 상부 표면(192)은 프로세싱 동안에 기판(402)에 대한 지지를 제공하고 기판(402)을 클램핑한다. 정전 척(150)은 기판(402)을 상부 표면(192)에 대해 밀접하게 평탄화시켜, 후면 증착(backside deposition)을 방지한다. 전기 바이어스가 척킹 전극(210)을 통해 기판(402)에 제공된다. 척킹 전극(210)은 척킹 전극(210)에 바이어싱 전압을 공급하는 척킹 전원(212)과 전자 연통할 수 있다. 하나 이상의 실시예들에서, 척킹 전압은 약 10 볼트 내지 약 3,000 볼트, 약 100 볼트 내지 약 2,000 볼트, 또는 약 200 볼트 내지 약 1,000 볼트이다.[0065] In
[0066] 동작(320) 동안에, 몇몇의 프로세스 파라미터들이 프로세스에 따라 조절될 수 있다. 300 ㎜의 기판을 프로세싱하기에 적합한 일 실시예에서, 프로세싱 볼륨의 프로세스 압력은 약 0.1 mTorr 내지 약 10 Torr(예컨대, 약 2 mTorr 내지 약 50 mTorr; 또는 약 5 mTorr 내지 약 20 mTorr)로 유지될 수 있다. 300 ㎜의 기판을 프로세싱하기에 적합한 일부 실시예들에서, 프로세싱 온도 및/또는 기판 온도는 약 -50℃ 내지 약 350℃(예컨대, 약 0℃ 내지 약 50℃; 또는 약 10℃ 내지 약 20℃)로 유지될 수 있다.[0066] During
[0067] 하나 이상의 실시예들에서, 일정한 척킹 전압이 기판(402)에 인가된다. 일부 실시예들에서, 척킹 전압이 정전 척(150)에 펄싱(pulsing)될 수 있다. 다른 실시예들에서, 척킹 전압을 인가하면서 후면 가스가 기판(402)에 인가되어 기판의 온도를 제어할 수 있다. 후면 가스들은 헬륨, 아르곤, 네온, 질소(N2), 수소(H2), 또는 이들의 임의의 조합을 포함할 수 있지만, 이로 제한되지 않는다.[0067] In one or more embodiments, a constant chucking voltage is applied to the
[0068] 동작(330)에서, 제1 RF 바이어스를 정전 척에 인가함으로써 기판에서, 예컨대 기판에 인접하거나 또는 기판 레벨 부근에서 플라즈마가 생성된다. 기판에서 생성된 플라즈마는 기판과 정전 척 사이의 플라즈마 영역에서 생성될 수 있다. 제1 RF 바이어스는 약 350 KHz 내지 약 100 MHz(예컨대, 약 350 KHz, 약 2 MHz, 약 13.56 MHz, 약 27 MHz, 약 40 MHz, 약 60 MHz 또는 약 100 MHz)의 주파수에서 약 10 와트 내지 약 3,000 와트일 수 있다. 하나 이상의 실시예들에서, 제1 RF 바이어스는 약 13.56 MHz의 주파수에서 약 2,500 와트 내지 약 3,000 와트의 전력으로 제공된다. 하나 이상의 실시예들에서, 제1 RF 바이어스는 제2 RF 전극(260)을 통해 정전 척(150)에 제공된다. 제2 RF 전극(260)은 제2 RF 전극(260)에 바이어싱 전압을 공급하는 제1 RF 전원(230)과 전자 연통할 수 있다. 하나 이상의 실시예들에서, 바이어스 전력은 약 10 와트 내지 약 3,000 와트, 약 2,000 와트 내지 약 3,000 와트, 또는 약 2,500 와트 내지 약 3,000 와트이다. 제1 RF 전원(230)은 약 350 KHz 내지 약 100 MHz(예컨대, 약 350 KHz, 약 2 MHz, 약 13.56 MHz, 약 27 MHz, 약 40 MHz, 약 60 MHz 또는 약 100 MHz)의 주파수에서 전력을 생성할 수 있다.[0068] In
[0069] 하나 이상의 실시예들에서, 동작(330)은 제2 RF 바이어스를 정전 척에 인가하는 단계를 추가로 포함한다. 제2 RF 바이어스는 약 350 KHz 내지 약 100 MHz(예컨대, 약 350 KHz, 약 2 MHz, 약 13.56 MHz, 약 27 MHz, 약 40 MHz, 약 60 MHz 또는 약 100 MHz)의 주파수에서 약 10 와트 내지 약 3,000 와트일 수 있다. 일부 예들에서, 제2 RF 바이어스는 약 2 MHz의 주파수에서 약 800 와트 내지 약 1,200 와트의 전력으로 제공된다. 다른 예들에서, 제2 RF 바이어스는 척킹 전극(210)을 통해 기판(402)에 제공된다. 척킹 전극(210)은 척킹 전극(210)에 바이어싱 전압을 공급하는 제2 RF 전원(240)과 전자 연통할 수 있다. 하나 이상의 예들에서, 바이어스 전력은 약 10 와트 내지 약 3,000 와트, 약 500 와트 내지 약 1,500 와트, 또는 약 800 와트 내지 약 1,200 와트이다. 제2 RF 전원(240)은 약 350 KHz 내지 약 100 MHz(예컨대, 약 350 KHz, 약 2 MHz, 약 13.56 MHz, 약 27 MHz, 약 40 MHz, 약 60 MHz 또는 약 100 MHz)의 주파수에서 전력을 생성할 수 있다. 하나 이상의 실시예들에서, 동작(320)에서 공급된 척킹 전압은 동작(330) 동안에 유지된다.[0069] In one or more embodiments,
[0070] 일부 실시예들에서, 동작(330) 동안에, 제1 RF 바이어스는 척킹 전극(210)을 통해 기판(402)에 제공되고, 제2 RF 바이어스는 제2 RF 전극(260)을 통해 기판(402)에 제공될 수 있다. 하나 이상의 예들에서, 제1 RF 바이어스는 약 2,500 와트(약 13.56 MHz)이고, 제2 RF 바이어스는 약 1,000 와트(약 2 MHz)이다.[0070] In some embodiments, during
[0071] 동작(340) 동안, 증착 가스가 프로세싱 볼륨(126) 내로 유동되어, 막 스택 상에 도핑된 다이아몬드형 탄소 막을 형성한다. 증착 가스는 가스 분배 조립체(120)를 통해 또는 측벽(101)을 통해 가스 패널(130)로부터 프로세싱 볼륨(126) 내로 유동될 수 있다. 증착 가스는 하나 이상의 탄화수소 화합물들 및 하나 이상의 도펀트 화합물들을 함유한다. 탄화수소 화합물은 임의의 물질 상태의 1개, 2개, 또는 1개 초과의 탄화수소 화합물들일 수 있거나 이들을 포함할 수 있다. 유사하게, 도펀트 화합물은 임의의 물질 상태의 1개, 2개, 또는 1개 초과의 도펀트 화합물들일 수 있거나 이들을 포함할 수 있다. 탄화수소 및/또는 도펀트 화합물들은 임의의 액체 또는 가스일 수 있지만, 재료 계량, 제어 및 프로세싱 볼륨으로의 전달에 필요한 하드웨어를 단순화하기 위해, 전구체들 중 임의의 전구체가 실온에서 증기인 경우, 일부 장점들이 실현될 수 있다.[0071] During
[0072] 증착 가스는 불활성 가스, 희석 가스, 질소 함유 가스, 에천트 가스, 또는 이들의 임의의 조합을 추가로 포함할 수 있다. 하나 이상의 실시예들에서, 동작(320) 동안 공급되는 척킹 전압은 동작(340) 동안 유지된다. 일부 실시예들에서, 동작(320) 동안 설정된 프로세스 조건들 및 동작(330) 동안 형성된 플라즈마는 동작(340) 동안 유지된다.[0072] The deposition gas may further include an inert gas, a diluent gas, a nitrogen-containing gas, an etchant gas, or any combination thereof. In one or more embodiments, the chucking voltage supplied during
[0073] 하나 이상의 실시예들에서, 탄화수소 화합물은 가스상 탄화수소 또는 액체 탄화수소이다. 탄화수소는 하나 이상의 알칸들, 하나 이상의 알켄들, 하나 이상의 알킨들, 하나 이상의 방향족, 또는 이들의 임의의 조합일 수 있거나 이들을 포함할 수 있다. 일부 예들에서, 탄화수소 화합물은 일반식 CxHy를 가지며, 여기서, x는 1 내지 약 20의 범위를 갖고, y는 1 내지 약 20의 범위를 갖는다. 적합한 탄화수소 화합물들은, 예컨대, C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 바이사이클로[2.2.1]헵타 2,5-디엔(2,5-노르보나디엔), 아다만틴(C10H16), 노르보넨(C7H10), 또는 이들의 임의의 조합을 포함한다. 하나 이상의 예들에서, 에틴은 더 안정적인 중간 종의 형성으로 인해 활용되며, 이는 더 많은 표면 이동성을 허용한다.[0073] In one or more embodiments, the hydrocarbon compound is a gaseous hydrocarbon or a liquid hydrocarbon. The hydrocarbon can be or include one or more alkanes, one or more alkenes, one or more alkynes, one or more aromatics, or any combination thereof. In some examples, the hydrocarbon compound has the general formula C x H y , where x ranges from 1 to about 20 and y ranges from 1 to about 20. Suitable hydrocarbon compounds are, for example, C 2 H 2 , C 3 H 6 , CH 4 , C 4 H 8 , 1,3-dimethyladamantane, bicyclo[2.2.1]hepta 2,5-diene (2, 5-norbornadiene), adamantine (C 10 H 16 ), norbornene (C 7 H 10 ), or any combination thereof. In one or more examples, ethyne is utilized due to the formation of a more stable intermediate species, which allows for more surface mobility.
[0074] 탄화수소 화합물은 하나 이상의 알칸들(예컨대, CnH2n+2, 여기서 n은 1 내지 20임)일 수 있거나 또는 이를 포함할 수 있다. 적합한 탄화수소 화합물들은, 예컨대, 알칸들, 예컨대, 메탄(CH4), 에탄(C2H6), 프로판(C3H8), 부탄(C4H10), 및 이의 이성질체 이소부탄, 펜탄(C5H12), 헥산(C6H14) 및 이의 이성체들 이소펜탄 및 네오펜탄, 헥산(C6H14) 및 이의 이성질체들 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄 및 2,2-디메틸 부탄, 또는 이들의 임의의 조합을 포함한다.[0074] The hydrocarbon compound can be or include one or more alkanes (eg, C n H 2n+2 , where n is 1 to 20). Suitable hydrocarbon compounds include, for example, alkanes such as methane (CH 4 ), ethane (C 2 H 6 ), propane (C 3 H 8 ), butane (C 4 H 10 ), and their isomers isobutane, pentane ( C 5 H 12 ), hexane (C 6 H 14 ) and its isomers Isopentane and neopentane, hexane (C 6 H 14 ) and its isomers 2-methylpentane, 3-methylpentane, 2,3-dimethylbutane and 2,2-dimethyl butane, or any combination thereof.
[0075] 탄화수소 화합물은 하나 이상의 알켄들(예컨대, CnH2n, 여기서 n은 1 내지 20임)일 수 있거나 또는 이를 포함할 수 있다. 적합한 탄화수소 화합물들은, 예컨대, 알켄들, 예컨대, 에틸렌, 프로필렌(C3H6), 부틸렌 및 이의 이성질체들, 펜텐 및 이의 이성질체들 등, 디엔들, 예컨대 부타디엔, 이소프렌, 펜타디엔, 헥사디엔, 또는 이들의 임의의 조합을 포함한다. 부가적인 적합한 탄화수소들은, 예컨대, 할로겐화 알켄들, 예컨대, 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 테트라클로로에틸렌, 또는 이들의 임의의 조합을 포함한다.[0075] The hydrocarbon compound can be or include one or more alkenes (eg, C n H 2n , where n is 1 to 20). Suitable hydrocarbon compounds include, for example, alkenes such as ethylene, propylene (C 3 H 6 ), butylene and its isomers, pentene and its isomers, and the like, dienes such as butadiene, isoprene, pentadiene, hexadiene, or any combination thereof. Additional suitable hydrocarbons include, for example, halogenated alkenes, such as monofluoroethylene, difluoroethylenes, trifluoroethylene, tetrafluoroethylene, monochloroethylene, dichloroethylenes, trichloroethylene, tetrachlorethylene. , or any combination thereof.
[0076] 탄화수소 화합물은 하나 이상의 알킨들(예컨대, CnH2n-2, 여기서 n은 1 내지 20임)일 수 있거나 또는 이를 포함할 수 있다. 적합한 탄화수소 화합물들은, 예컨대, 알킨들, 예컨대, 에틴 또는 아세틸렌(C2H2), 프로핀(C3H4), 부틸렌(C4H8), 비닐아세틸렌, 또는 이들의 임의의 조합을 포함한다.[0076] The hydrocarbon compound can be or include one or more alkynes (eg, C n H 2n-2 , where n is 1 to 20). Suitable hydrocarbon compounds include, for example, alkynes such as ethyne or acetylene (C 2 H 2 ), propyne (C 3 H 4 ), butylene (C 4 H 8 ), vinylacetylene, or any combination thereof. include
[0077] 탄화수소 화합물은 하나 이상의 방향족 탄화수소 화합물들, 예컨대, 벤젠, 스티렌, 톨루엔, 크실렌, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페놀, 크레졸, 푸란 등, 알파-테르피넨, 시멘, 또는 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸퍼푸릴에테르, 화학식 C3H2 및 C5H4를 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠을 포함하는 할로겐화 방향족 화합물들, 또는 임의의 이들의 조합일 수 있거나 이들을 포함할 수 있다.[0077] The hydrocarbon compound is one or more aromatic hydrocarbon compounds such as benzene, styrene, toluene, xylene, ethylbenzene, acetophenone, methyl benzoate, phenyl acetate, phenol, cresol, furan, etc., alpha-terpinene, cymene, or 1,1,3,3-tetramethylbutylbenzene, t-butylether, t-butylethylene, methyl-methacrylate, and t-butylfurfurylether, formulas C 3 H 2 and C 5 H 4 may be or include compounds having, halogenated aromatic compounds including monofluorobenzene, difluorobenzenes, tetrafluorobenzenes, hexafluorobenzene, or any combination thereof.
[0078] 예시적인 텅스텐 전구체들은 텅스텐 헥사플루오라이드, 텅스텐 헥사클로라이드, 텅스텐 헥사카보닐, 비스(사이클로펜타디에닐) 텅스텐 디히드라이드, 비스(3차부틸이미노) 비스(디메틸아미노)텅스텐, 또는 이들의 임의의 조합일 수 있거나 이를 포함할 수 있다. 예시적인 몰리브덴 전구체들은 몰리브덴 펜타클로라이드, 몰리브덴 헥사카보닐, 비스(사이클로펜타디에닐) 몰리브덴 디클로라이드, 또는 이들의 임의의 조합일 수 있거나 이들을 포함할 수 있다. 예시적인 코발트 전구체들은 코발트 카보닐 화합물들, 코발트 아미디네이트 화합물들, 코발토센 화합물들, 코발트 디에닐 화합물들, 이들의 착물들, 또는 이들의 임의의 조합 중 하나 이상이거나 또는 이들을 포함할 수 있다. 예시적인 코발트 전구체들은, 사이클로펜타디에닐 디카보닐 코발트(CpCo(CO)2), 디코발트 헥사카보닐 부틸아세틸렌(CCTBA), (사이클로펜타디에닐) (사이클로헥사디에닐) 코발트, (사이클로부타디에닐) (사이클로펜타디에닐) 코발트, 비스(사이클로펜타디에닐) 코발트, 비스(메틸사이클로펜타디에닐) 코발트, 비스(에틸사이클로펜타디에닐) 코발트, 사이클로펜타디에닐 (1,3-헥사디에닐) 코발트, (사이클로펜타디에닐)(5-메틸사이클로펜타디에닐) 코발트 및 비스(에틸렌)(펜타메틸사이클로펜타디에닐) 코발트, 또는 이들의 임의의 조합 중 하나 이상이거나 이를 포함할 수 있다.[0078] Exemplary tungsten precursors are tungsten hexafluoride, tungsten hexachloride, tungsten hexacarbonyl, bis(cyclopentadienyl) tungsten dihydride, bis(tertbutylimino) bis(dimethylamino)tungsten, or these may be or include any combination of Exemplary molybdenum precursors may be or include molybdenum pentachloride, molybdenum hexacarbonyl, bis(cyclopentadienyl)molybdenum dichloride, or any combination thereof. Exemplary cobalt precursors may be or include one or more of cobalt carbonyl compounds, cobalt amidinate compounds, cobaltocene compounds, cobalt dienyl compounds, complexes thereof, or any combination thereof. there is. Exemplary cobalt precursors include cyclopentadienyl dicarbonyl cobalt (CpCo(CO) 2 ), dicobalt hexacarbonyl butylacetylene (CCTBA), (cyclopentadienyl) (cyclohexadienyl) cobalt, (cyclobutadienyl) Nyl) (cyclopentadienyl) cobalt, bis(cyclopentadienyl) cobalt, bis(methylcyclopentadienyl) cobalt, bis(ethylcyclopentadienyl) cobalt, cyclopentadienyl (1,3-hexadie may be or include one or more of (nyl) cobalt, (cyclopentadienyl)(5-methylcyclopentadienyl) cobalt and bis(ethylene)(pentamethylcyclopentadienyl) cobalt, or any combination thereof. .
[0079] 예시적인 니켈 전구체들은 비스(사이클로펜타디에닐) 니켈, 비스(에틸사이클로펜타디에닐) 니켈, 비스(메틸사이클로펜타디에닐) 니켈, 알릴(사이클로펜타디에닐) 니켈, 또는 이들의 임의의 조합일 수 있거나 이들을 포함할 수 있다. 예시적인 바나듐 전구체들은 바나듐 펜타클로라이드, 비스(사이클로펜타디에닐) 바나듐, 또는 이들의 임의의 조합이거나 이를 포함할 수 있다. 예시적인 지르코늄 전구체들은 지르코늄 테트라클로라이드, 비스(사이클로펜타디에닐) 지르코늄 디히드라이드, 테트라키스(디메틸아미노) 지르코늄, 테트라키스(디에틸아미노)지르코늄, 또는 이들의 임의의 조합일 수 있거나 이들을 포함할 수 있다.[0079] Exemplary nickel precursors are bis(cyclopentadienyl)nickel, bis(ethylcyclopentadienyl)nickel, bis(methylcyclopentadienyl)nickel, allyl(cyclopentadienyl)nickel, or any of these It may be a combination of or may include them. Exemplary vanadium precursors may be or include vanadium pentachloride, bis(cyclopentadienyl) vanadium, or any combination thereof. Exemplary zirconium precursors may be or include zirconium tetrachloride, bis(cyclopentadienyl)zirconium dihydride, tetrakis(dimethylamino)zirconium, tetrakis(diethylamino)zirconium, or any combination thereof. there is.
[0080] 하프늄 전구체는 하프늄 사이클로펜타디엔 화합물들 중 하나 이상, 하프늄 아미노 화합물들 중 하나 이상, 하프늄 알킬 화합물들 중 하나 이상, 하프늄 알콕시 화합물들 중 하나 이상, 이들의 치환물들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합 중 하나 이상이거나 이를 포함할 수 있다. 예시적인 하프늄 전구체들은 비스(메틸사이클로펜타디엔)디메틸하프늄((MeCp)2HfMe2), 비스(메틸사이클로펜타디엔) 메틸메톡시하프늄((MeCp)2Hf(OMe)(Me)), 비스(사이클로펜타디엔)디메틸하프늄((Cp)2HfMe2), 테트라(3차-부톡시)하프늄, 하프늄 이소프로폭시드((iPrO)4Hf), 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 테트라키스(에틸메틸아미노)하프늄(TEMAH), 이들의 이성질체들, 이들의 착물들, 이들의 부가물들, 이들의 염들, 또는 이들의 임의의 조합일 수 있거나 이를 포함할 수 있다.[0080] The hafnium precursor is one or more of hafnium cyclopentadiene compounds, one or more of hafnium amino compounds, one or more of hafnium alkyl compounds, one or more of hafnium alkoxy compounds, substituents thereof, complexes thereof, may include or be one or more of adducts thereof, salts thereof, or any combination thereof. Exemplary hafnium precursors include bis(methylcyclopentadiene)dimethylhafnium ((MeCp) 2 HfMe 2 ), bis(methylcyclopentadiene) methylmethoxyhafnium ((MeCp) 2 Hf(OMe)(Me)), bis( Cyclopentadiene)dimethylhafnium ((Cp)2HfMe 2 ), tetra(tert-butoxy)hafnium, hafnium isopropoxide ((iPrO) 4 Hf), tetrakis(dimethylamino)hafnium (TDMAH), tetrakis (diethylamino)hafnium (TDEAH), tetrakis(ethylmethylamino)hafnium (TEMAH), isomers thereof, complexes thereof, adducts thereof, salts thereof, or any combination thereof, or may include this.
[0081] 예시적인 탄탈-함유 화합물들은, 펜타키스(에틸메틸아미노) 탄탈룸(PEMAT), 펜타키스(디에틸아미노)탄탈룸(PDEAT), 펜타키스(디메틸아미노)탄탈룸(PDMAT), 및 PEMAT, PDEAT, 및 PDMAT의 임의의 유도체들일 수 있거나 이들을 포함할 수 있다. 예시적인 탄탈-함유 화합물들은 또한, 3차-부틸이미노 트리스(디에틸아미노)탄탈룸(TBTDET), 터트부틸이미노 트리스(디메틸아미노)탄탈룸(TBTDMT), 비스(사이클로펜타디에닐) 탄탈룸 트리하이드라이드, 비스(메틸사이클로펜타디에닐) 탄탈룸 트리하이드라이드, 및 탄탈 하이드라이드, TaX5(여기서 X는 불소(F), 브롬(Br) 또는 염소(Cl)임), 및/또는 이들의 유도체들일 수 있거나, 이를 포함할 수 있다. 예시적인 질소-함유 화합물들은 질소 가스, 암모니아, 하이드라진, 메틸하이드라진, 디메틸하이드라진, t-부틸하이드라진, 페닐하이드라진, 아조이소부탄, 에틸아지드, 및 이들의 유도체들을 포함한다.[0081] Exemplary tantalum-containing compounds include pentakis(ethylmethylamino) tantalum (PEMAT), pentakis(diethylamino)tantalum (PDEAT), pentakis(dimethylamino)tantalum (PDMAT), and PEMAT, PDEAT , and any derivatives of PDMAT. Exemplary tantalum-containing compounds also include tert-butylimino tris(diethylamino)tantalum (TBTDET), tert-butylimino tris(dimethylamino)tantalum (TBTDMT), bis(cyclopentadienyl) tantalum trihydride fluoride, bis(methylcyclopentadienyl) tantalum trihydride, and tantalum hydride, TaX 5 , where X is fluorine (F), bromine (Br), or chlorine (Cl), and/or derivatives thereof may or may include it. Exemplary nitrogen-containing compounds include nitrogen gas, ammonia, hydrazine, methylhydrazine, dimethylhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, and derivatives thereof.
[0082] 예시적인 실리콘 전구체들은, 실란, 디실란, 트리실란, 테트라실란, 펜타실란, 헥사실란, 모노클로로실란, 디클로로실란, 트리클로로실란, 테트라클로로실란, 헥사클로로실란, 치환된 실란들, 이들의 플라즈마 유도체들, 또는 이들의 임의의 조합일 수 있거나 이들을 포함할 수 있다. 예시적인 붕소 전구체들은 디보란, 트리보란, 테트라보란, 트리에틸보란(Et3B), 디메틸아미노 보란, 또는 이들의 임의의 조합이거나 이들을 포함할 수 있다.[0082] Exemplary silicon precursors include silane, disilane, trisilane, tetrasilane, pentasilane, hexasilane, monochlorosilane, dichlorosilane, trichlorosilane, tetrachlorosilane, hexachlorosilane, substituted silanes, may be or include plasma derivatives thereof, or any combination thereof. Exemplary boron precursors may be or include diborane, triborane, tetraborane, triethylborane (Et 3 B), dimethylamino borane, or any combination thereof.
[0083] 질소-함유 화합물은, 피리딘 화합물들, 지방족 아민들, 아민들, 니트릴들, 및 유사한 화합물들 중 하나 이상이거나 이를 포함할 수 있다. 예시적인 질소-함유 화합물들은 질소 가스, 원자 질소, 암모니아, 하이드라진, 메틸하이드라진, 디메틸하이드라진, t-부틸하이드라진, 페닐하이드라진, 아조이소부탄, 에틸아지드, 피리딘, 및 이들의 유도체들일 수 있거나 이들을 포함할 수 있다. 예시적인 인 전구체들은 포스핀, 트리페닐포스핀, 트리메틸포스핀, 트리에틸포스핀, 또는 이들의 임의의 조합이거나 이들을 포함할 수 있다. 예시적인 게르마늄 전구체들은 게르만, 테트라메틸 게르마늄, 트리에틸 게르마늄 하이드라이드, 트리페닐 게르마늄 하이드라이드, 또는 이들의 임의의 조합일 수 있거나 이들을 포함할 수 있다.[0083] The nitrogen-containing compound may be or include one or more of pyridine compounds, aliphatic amines, amines, nitriles, and similar compounds. Exemplary nitrogen-containing compounds may be or include nitrogen gas, atomic nitrogen, ammonia, hydrazine, methylhydrazine, dimethylhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, pyridine, and derivatives thereof. can do. Exemplary phosphorus precursors may be or include phosphine, triphenylphosphine, trimethylphosphine, triethylphosphine, or any combination thereof. Exemplary germanium precursors may be or include germane, tetramethyl germanium, triethyl germanium hydride, triphenyl germanium hydride, or any combination thereof.
[0084] 하나 이상의 실시예들에서, 증착 가스는 하나 이상의 희석 가스들, 하나 이상의 캐리어 가스들, 및/또는 하나 이상의 퍼지 가스들을 추가로 함유한다. 적합한 희석 가스들, 캐리어 가스들, 및/또는 퍼지 가스들, 예컨대, 헬륨(He), 아르곤(Ar), 제논(Xe), 수소(H2), 질소(N2), 암모니아(NH3), 산화질소(NO), 또는 이들의 임의의 조합은, 특히, 증착 가스와 함께 프로세싱 볼륨(126) 내로 공동-유동되거나 또는 다른 방식으로 공급될 수 있다. 아르곤, 헬륨, 및/또는 질소는 도핑된 다이아몬드형 탄소 막의 밀도 및 증착율을 제어하는 데 사용될 수 있다. 일부 경우들에서, N2 및/또는 NH3의 첨가는, 하기에 논의되는 바와 같이, 도핑된 다이아몬드형 탄소 막의 수소 비율을 제어하는 데 사용될 수 있다. 대안적으로, 희석 가스들은 증착 동안 사용되지 않을 수 있다.[0084] In one or more embodiments, the deposition gas further contains one or more diluent gases, one or more carrier gases, and/or one or more purge gases. Suitable dilution gases, carrier gases, and/or purge gases, such as helium (He), argon (Ar), xenon (Xe), hydrogen (H 2 ), nitrogen (N 2 ), ammonia (NH 3 ) , nitric oxide (NO), or any combination thereof, may be co-flowed or otherwise supplied into the
[0085] 일부 실시예들에서, 증착 가스는 에천트 가스를 추가로 함유한다. 적합한 에천트 가스들은 염소(Cl2), 불소(F2), 수소 불화물(HF), 탄소 사불화물(CF4), 질소 삼불화물(NF3), 또는 이들의 임의의 조합일 수 있거나 또는 이를 포함할 수 있다. 이론에 의해 구속되는 것은 아니지만, 에천트 가스들이 막으로부터 sp2 혼성화 탄소 원자들을 선택적으로 에칭함으로써, 막 내의 sp3 혼성화 탄소 원자들의 분율을 증가시키며, 이는 막의 에칭 선택비를 증가시키는 것으로 여겨진다.[0085] In some embodiments, the deposition gas further contains an etchant gas. Suitable etchant gases may be or may be chlorine (Cl 2 ), fluorine (F 2 ), hydrogen fluoride (HF), carbon tetrafluoride (CF 4 ), nitrogen trifluoride (NF 3 ), or any combination thereof. can include Without being bound by theory, it is believed that the etchant gases selectively etch sp 2 hybridized carbon atoms from the film, thereby increasing the fraction of sp 3 hybridized carbon atoms in the film, which increases the etch selectivity of the film.
[0086] 하나 이상의 실시예에서, 동작(340) 동안에 도핑된 다이아몬드형 탄소 막(412)이 기판 상에 형성된 후에, 도핑된 다이아몬드형 탄소 막(412)은 수소 라디칼들에 노출된다. 일부 실시예들에서, 도핑된 다이아몬드형 탄소 막은 동작(340)의 증착 프로세스 동안에 수소 라디칼들에 노출된다. 다른 실시예들에서, 수소 라디칼들은 RPS에서 형성되고 프로세싱 영역으로 전달된다. 이론에 의해 구속되는 것은 아니지만, 도핑된 다이아몬드형 탄소 막을 수소 라디칼들에 노출시키는 것은 sp2 혼성화 탄소 원자들의 선택적 에칭을 초래하고, 그에 따라 막의 sp3 혼성화 탄소 원자 분율을 증가시키며, 그에 따라 에칭 선택비를 증가시키는 것으로 여겨진다.[0086] In one or more embodiments, after the doped
[0087] 동작(350)에서, 도핑된 다이아몬드형 탄소 막(412)이 기판 상에 형성된 후에, 기판이 척킹 해제(de-chucking)된다. 동작(350) 동안에, 척킹 전압이 턴-오프된다. 반응성 가스들은 턴-오프되고 프로세싱 챔버로부터 선택적으로 퍼지된다. 하나 이상의 실시예들에서, 동작(350) 동안에, RF 전력이 감소(예컨대, 약 200 와트)된다. 선택적으로, 제어기(110)는 정전하들이 RF 경로를 통해 접지로 소산되는지를 결정하기 위해, 임피던스 변화(impedance change)를 모니터링한다. 기판이 정전 척으로부터 척킹 해제되면, 잔류 가스들은 프로세싱 챔버로부터 퍼지된다. 프로세싱 챔버는 펌핑 다운되고, 기판은 리프트 핀들 위로 이동하여, 챔버 밖으로 이송된다.[0087] In
[0088] 도 5는 본원에서 설명 및 논의되는 하나 이상의 실시예들에 따른, 도핑된 다이아몬드형 탄소 막을 사용하는 방법(500)의 흐름도를 도시한다. 도핑된 다이아몬드형 탄소 막(412)이 기판 상에 형성된 후에, 도핑된 다이아몬드형 탄소 막(412)은 3-차원 구조, 예컨대, 계단(stair)과 같은 구조를 형성하기 위한 패터닝 마스크(patterning mask)로서 에칭 프로세스에서 활용될 수 있다. 도핑된 다이아몬드형 탄소 막(412)은 표준 포토레지스트 패터닝 기술들을 사용하여 패터닝될 수 있다. 동작(510)에서, 도핑된 다이아몬드형 탄소 막(412) 위에 패터닝된 포토레지스트(도시되지 않음)가 형성될 수 있다. 동작(520)에서, 도핑된 다이아몬드형 탄소 막(412)은 패터닝된 포토레지스트 층에 대응하는 패턴으로 에칭될 수 있고, 이어서 동작(530)에서 기판(402) 내로 패턴이 에칭될 수 있다. 동작(540)에서, 재료가 기판(402)의 에칭된 부분들 내에 증착될 수 있다. 동작(550)에서, 도핑된 다이아몬드형 탄소 막(412)은 과산화수소 및 황산을 함유하는 용액을 사용하여 제거될 수 있다. 과산화수소 및 황산을 함유하는 하나의 예시적인 용액은 피라냐 용액(Piranha solution) 또는 피라냐 에칭(Piranha etch)으로 알려져 있다. 도핑된 다이아몬드형 탄소 막(412)은 또한, 산소 및 할로겐들(예컨대, 불소 또는 염소)을 함유하는 에칭 화학물질들, 예를 들어 Cl2/O2, CF4/O2, Cl2/O2/CF4를 사용하여 제거될 수 있다. 도핑된 다이아몬드형 탄소 막(412)은 화학적 기계적 폴리싱(CMP) 프로세스에 의해 제거될 수 있다.[0088] Figure 5 shows a flow diagram of a
예언적 예들:Prophetic examples:
[0089] 하기 비제한적인 예언적 예들은 본원에서 설명되는 들을 추가로 예시하기 위해 제공된다. 그러나, 예들은 모두 포괄적인 것으로 의도되는 것은 아니며, 본원에서 설명되는 실시예들의 범위를 제한하는 것으로 의도되지 않는다. 실제 및 예측 결과들 중 일부가 표 2에 약술되어 있다.[0089] The following non-limiting prophetic examples are provided to further illustrate those described herein. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the embodiments described herein. Some of the actual and predicted results are outlined in Table 2.
[0090] 하나 이상의 예들에서, 본 개시내용의 저 응력, 고밀도 붕소-도핑된 다이아몬드형 탄소 막은 증착 가스로서 약 150 sccm의 아세틸렌, 약 100 sccm의 헬륨 및 100 sccm의 디보란(90 부피%의 H2에서 희석됨)을 유동시킴으로써 제조되었다. 기판은 약 10℃의 온도에 있고, 챔버 압력은, 약 2,500 와트 RF(13.56 MHz) 전력 및 약 1,000 와트(2 MHz)를 희석 가스로서 Ar 및/또는 He를 갖는 CVD 반응기에서 기판 페데스탈(정전 척)을 통해 인가하면서 약 5 mTorr에서 유지되었다.[0090] In one or more examples, a low stress, high density boron-doped diamondoid carbon film of the present disclosure contains about 150 sccm of acetylene, about 100 sccm of helium, and 100 sccm of diborane (90 vol% H diluted in 2 ). The substrate is at a temperature of about 10° C., the chamber pressure is about 2,500 Watts RF (13.56 MHz) power and about 1,000 Watts (2 MHz) on the substrate pedestal (electrostatic chuck) in a CVD reactor with Ar and/or He as diluent gases. ) and maintained at about 5 mTorr.
[0091] 얻어진 붕소-도핑된 다이아몬드형 탄소 막은 2 g/cc 초과, 예컨대 2.5 g/cc 내지 약 3 g/cc 또는 약 5 g/cc의 밀도 및 -500 MPa 이하, 예컨대 -550 MPa 또는 -600 MPa의 응력, 및 K@633 nm < 0.15를 갖는다. 붕소-도핑된 다이아몬드형 탄소 막은 현재 이용 가능한 비정질 탄소 막들 또는 다른 종래의 도핑되지 않은 다이아몬드형 탄소 막들보다 더 큰 에칭 선택성을 갖는다.[0091] The obtained boron-doped diamondoid carbon film has a density greater than 2 g/cc, such as 2.5 g/cc to about 3 g/cc or about 5 g/cc, and a density of -500 MPa or less, such as -550 MPa or -600 MPa. stress of MPa, and K@633 nm < 0.15. A boron-doped diamondoid carbon film has a greater etch selectivity than currently available amorphous carbon films or other conventional undoped diamondoid carbon films.
[0092] 다른 예들에서, 증착 가스로서 약 150 sccm의 아세틸렌, 약 100 sccm의 헬륨 및 20 sccm의 텅스텐 헥사플루오라이드를 유동시킴으로써, 본 개시내용의 저 응력, 고밀도 텅스텐-도핑된 다이아몬드형 탄소 막이 제조되었다. 기판은 약 10℃의 온도에 있고, 챔버 압력은, 희석 가스로서 Ar 및/또는 He를 갖는 CVD 반응기에서 기판 페데스탈(정전 척)을 통해 약 2,500 와트 RF(13.56 MHz) 전력 및 약 1,000 와트(2 MHz)를 인가하면서 약 5 mTorr로 유지되었다.[0092] In other examples, a low-stress, high-density tungsten-doped diamond-like carbon film of the present disclosure is prepared by flowing about 150 sccm of acetylene, about 100 sccm of helium, and 20 sccm of tungsten hexafluoride as deposition gases. It became. The substrate is at a temperature of about 10° C. and the chamber pressure is about 2,500 Watts RF (13.56 MHz) power and about 1,000 Watts (2 MHz) was maintained at about 5 mTorr.
[0093] 얻어진 텅스텐-도핑된 다이아몬드형 탄소 막은 3 g/cc 초과, 예컨대 3.5 g/cc 내지 약 10 g/cc 또는 약 12 g/cc의 밀도 및 -550 MPa 이하, 예컨대 -600 MPa 또는 -650 MPa의 응력, 및 K@633 nm < 0.15를 갖는다. 텅스텐-도핑된 다이아몬드형 탄소 막은 현재 이용 가능한 비정질 탄소 막들 또는 다른 종래의 도핑되지 않은 다이아몬드형 탄소 막들보다 더 큰 에칭 선택성을 갖는다.[0093] The obtained tungsten-doped diamondoid carbon film has a density greater than 3 g/cc, such as 3.5 g/cc to about 10 g/cc or about 12 g/cc, and a density of -550 MPa or less, such as -600 MPa or -650 stress of MPa, and K@633 nm < 0.15. A tungsten-doped diamondoid carbon film has a greater etch selectivity than currently available amorphous carbon films or other conventional undoped diamondoid carbon films.
극자외선("EUV") 패터닝 방식들Extreme Ultraviolet (“EUV”) Patterning Methods
[0094] 하부 층의 선택은 극자외선("EUV") 패터닝 방식들에서 금속-함유 포토레지스트들을 사용하는 경우에 반도체 디바이스들에 있어서의 나노불량(nanofailure)들(예컨대, 브리징 결함(bridging defect)들 및 간격 결함들)을 방지하는 데 중요하다. EUV 패터닝(리소그래피) 방식들에 대한 종래의 하부 층들은 스핀 온 카본(spin on carbon; SOC) 재료들이다. 그러나, 패터닝 동안에, 주석과 같은 금속들은, 예컨대 SOC 재료들을 통해 확산하여 반도체 디바이스에 나노불량들을 야기한다. 그러한 나노불량들은 반도체 성능을 감소, 저하 및 저해하는 작용을 한다. [0094] The bottom layer's selection is to avoid nanofailures (e.g., bridging defects) in semiconductor devices when using metal-containing photoresists in extreme ultraviolet ("EUV") patterning schemes. and spacing defects). Conventional lower layers for EUV patterning (lithography) schemes are spin on carbon (SOC) materials. However, during patterning, metals, such as tin, diffuse through SOC materials, for example, causing nanodefects in the semiconductor device. Such nanodefects act to reduce, degrade, and inhibit semiconductor performance.
[0095] 한편, 본원에 설명된 고밀도 탄소 막들은 개선된 경도 및 밀도와 같은 우수한 막 품질들을 갖는다. 그러한 경도 및 밀도는 고밀도 탄소 막이 금속 침투(metal infiltration)에 대한 보다 강력한 배리어(barrier)로서 작용하고, 종래의 SOC 막들보다 큰 정도로 나노불량들을 방지하고 최소한 감소시킬 수 있게 한다. 하나 이상의 실시예들에서, 극자외선("EUV") 리소그래피 프로세스를 위한 하부층으로서 사용하기 위한 도핑된 다이아몬드형 탄소 막이 제공된다.[0095] On the other hand, the high-density carbon films described herein have excellent film qualities such as improved hardness and density. Such hardness and density allow the high-density carbon film to act as a stronger barrier to metal infiltration and to prevent or at least reduce nanodefects to a greater extent than conventional SOC films. In one or more embodiments, a doped diamond-like carbon film for use as an underlayer for an extreme ultraviolet ("EUV") lithography process is provided.
[0096] 하나 이상의 실시예들에서, EUV 리소그래피 프로세스를 위한 하부층으로서 사용하기 위한 도핑된 다이아몬드형 탄소 막은 본원에서 설명되는 임의의 막일 수 있다. 도핑된 다이아몬드형 탄소 막은 도핑된 다이아몬드형 탄소 막 내의 탄소 원자들의 총량을 기준으로 약 40% 내지 약 90%의 sp3 혼성화된 탄소 원자 함량, 2 g/cc 초과, 예컨대, 약 2.5 g/cc 내지 약 12 g/cc 또는 약 3 g/cc 내지 약 10 g/cc의 밀도, 및 약 150 GPa 내지 약 400 GPa의 탄성 모듈러스를 가질 수 있다.[0096] In one or more embodiments, a doped diamondoid carbon film for use as an underlayer for an EUV lithography process may be any film described herein. The doped diamondoid carbon film has an sp3 hybridized carbon atom content of from about 40% to about 90%, based on the total amount of carbon atoms in the doped diamondoid carbon film, greater than 2 g/cc, such as from about 2.5 g/cc to about It may have a density of 12 g/cc or about 3 g/cc to about 10 g/cc, and an elastic modulus of about 150 GPa to about 400 GPa.
[0097] 일부 실시예들에서, EUV 리소그래피 프로세스를 위한 하부층으로서 사용하기 위한 도핑된 다이아몬드형 탄소 막은 약 2.5 g/cc 내지 약 12 g/cc의 밀도; 및 약 180 GPa 내지 약 200 GPa의 탄성 모듈러스를 갖는다. 도핑된 다이아몬드형 탄소 막은 약 3 g/cc의 밀도 및 약 195 GPa의 탄성 모듈러스를 가질 수 있다. 다른 실시예들에서, 도핑된 다이아몬드형 탄소 막은 약 -600 MPa의 응력, 약 2.0 내지 약 3.0의 굴절률, 및 약 0.2 내지 약 0.3의 흡광 계수를 갖는다.[0097] In some embodiments, a doped diamond-like carbon film for use as an underlayer for an EUV lithography process has a density of about 2.5 g/cc to about 12 g/cc; and an elastic modulus of about 180 GPa to about 200 GPa. The doped diamondoid carbon film may have a density of about 3 g/cc and an elastic modulus of about 195 GPa. In other embodiments, the doped diamondoid carbon film has a stress of about -600 MPa, a refractive index of about 2.0 to about 3.0, and an extinction coefficient of about 0.2 to about 0.3.
[0098] 따라서, 반도체 디바이스들의 3 차원 적층을 제조하기 위한 계단형 구조체들을 형성하는 데 이용될 수 있는 도핑된 다이아몬드형 탄소 막이거나 또는 이를 함유하는 하드마스크 층을 형성하기 위한 방법들 및 장치들이 제공된다. 원하는 강인한 막 특성들 및 에칭 선택비를 갖는 도핑된 다이아몬드형 탄소 막을 하드마스크 층으로서 이용함으로써, 막 스택에 형성된 결과적인 구조체들의 개선된 치수 및 프로파일 제어가 얻어질 수 있고, 칩 디바이스들의 전기적 성능이 반도체 디바이스들의 3 차원 적층을 위한 애플리케이션들에서 향상될 수 있다.[0098] Accordingly, methods and apparatuses are provided for forming a hardmask layer that is or contains a doped diamond-like carbon film that can be used to form stepped structures for fabricating three-dimensional stacks of semiconductor devices. do. By using a doped diamond-like carbon film with the desired robust film properties and etch selectivity as a hardmask layer, improved dimensional and profile control of the resulting structures formed in the film stack can be obtained, and the electrical performance of chip devices can be improved. It can be improved in applications for three-dimensional stacking of semiconductor devices.
[0099] 요약하면, 본 개시내용의 이익들 중 일부는 기판 상에 도핑된 다이아몬드형 탄소 막들을 증착하거나 또는 달리 형성하기 위한 프로세스를 제공하는 것이다. 통상적인 PE-CVD 하드마스크 막들은 매우 낮은 퍼센트의 혼성화 sp3 원자들 및 그에 따른 낮은 모듈러스 및 에칭 선택비를 갖는다. 본원에 설명된 일부 실시예들에서, 낮은 프로세스 압력들(1 Torr 미만) 및 하부 구동 플라즈마(bottom driven plasma)는 약 60% 이상의 혼성화 sp3 원자들을 갖는 도핑된 막들의 제조를 가능하게 하여, 이전에 이용 가능한 하드마스크 막들에 비해 에칭 선택비가 개선되게 한다. 또한, 본원에 설명된 실시예들 중 일부는 낮은 기판 온도들에서 수행되며, 이는 현재 가능한 것보다 훨씬 낮은 온도들에서 다른 유전체 막들의 증착을 가능하게 하여, CVD에 의해 현재 해결될 수 없는 낮은 열 부담(thermal budget)을 갖는 애플리케이션들을 가능하게 한다. 추가적으로, 본원에 설명된 실시예들 중 일부는 EUV 리소그래피 프로세스를 위한 하부 층으로서 사용될 수 있다.[0099] In summary, some of the benefits of the present disclosure are to provide a process for depositing or otherwise forming doped diamond-like carbon films on a substrate. Conventional PE-CVD hardmask films have a very low percentage of hybridized sp 3 atoms and thus low modulus and etch selectivity. In some embodiments described herein, low process pressures (less than 1 Torr) and a bottom driven plasma enable the fabrication of doped films with about 60% or more hybridized sp 3 atoms, such that the previous to improve the etch selectivity compared to hardmask films available for Additionally, some of the embodiments described herein are performed at low substrate temperatures, which allows the deposition of other dielectric films at much lower temperatures than is currently possible, thereby reducing thermal degradation currently unaddressable by CVD. Enables applications with a thermal budget. Additionally, some of the embodiments described herein can be used as an underlying layer for an EUV lithography process.
[00100] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다. 본 명세서와 상반되지 않는 한, 임의의 우선권 문헌들 및/또는 시험 절차들을 포함하여, 본원에 설명된 모든 문헌들은 인용에 의해 본원에 포함된다. 전술한 일반적인 설명 및 특정 실시예들로부터 명백한 바와 같이, 본 개시내용의 형태들이 예시되고 설명되었지만, 본 개시내용의 사상 및 범위를 벗어나지 않으면서 다양한 변형들이 이루어질 수 있다. 따라서, 본 개시내용이 그에 의해 제한되는 것으로 의도되지 않는다. 마찬가지로, "포함하는(comprising)"이라는 용어는 미국 법의 목적들을 위해 "포함하는(including)"이라는 용어와 동의어로 간주된다. 마찬가지로, 조성, 엘리먼트, 또는 엘리먼트들의 군이 "포함하는(comprising)"이라는 전환구와 함께 선행될 때마다, 조성물, 엘리먼트, 또는 엘리먼트들의 열거에 앞서 "필수적 요소로 하여 구성되는(consisting essentially of)", "구성되는", "~구성된 군으로부터 선택되는", 또는 "~이다"라는 전환구들과 동일한 조성 또는 엘리먼트들의 군이 고려되고, 그 반대의 경우도 마찬가지인 것으로 이해된다.[00100] While the foregoing relates to embodiments of the present disclosure, other and additional embodiments may be devised without departing from the basic scope of the present disclosure, which scope is covered by the following claims. It is decided. All documents described herein, including any priority documents and/or test procedures, are incorporated herein by reference unless otherwise contradicted by this specification. As is apparent from the foregoing general description and specific embodiments, while forms of the present disclosure have been illustrated and described, various modifications may be made without departing from the spirit and scope of the present disclosure. Accordingly, it is not intended that the present disclosure be limited thereby. Likewise, the term “comprising” is considered synonymous with the term “including” for purposes of US law. Similarly, whenever a composition, element, or group of elements is preceded by the transition phrase "comprising," the composition, element, or recitation of elements is preceded by "consisting essentially of." It is understood that the same composition or group of elements as the transition phrases “consisting of,” “selected from the group consisting of,” or “is” and vice versa are contemplated.
[00101] 특정 실시예들 및 특징들은 일련의 수치 상한들 및 일련의 수치 하한들을 사용하여 설명되었다. 달리 표시되지 않는 한, 임의의 2개의 값들의 조합, 예컨대, 임의의 하한 값과 임의의 상한 값의 조합, 임의의 2개의 하한 값들의 조합, 및/또는 임의의 2개의 상한 값들의 조합을 포함하는 범위들이 고려된다는 것이 인지되어야 한다. 특정 하한들, 상한들 및 범위들은 아래의 하나 이상의 청구항들에서 나타난다.[00101] Certain embodiments and features have been described using a series of upper numerical limits and lower series of numerical limits. Including combinations of any two values, e.g., a combination of any lower value and any upper value, a combination of any two lower values, and/or a combination of any two upper values, unless otherwise indicated. It should be appreciated that ranges of Certain lower limits, upper limits and ranges appear in one or more claims below.
Claims (20)
정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 볼륨 내로 탄화수소 화합물 및 도펀트 화합물을 포함하는 증착 가스를 유동시키는 단계 ― 상기 프로세싱 볼륨은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지됨 ―; 및
상기 기판 상에 도핑된 다이아몬드형 탄소 막을 증착하기 위해, 제1 RF 바이어스를 상기 정전 척에 인가함으로써 상기 기판에 플라즈마를 생성하는 단계 ― 상기 도핑된 다이아몬드형 탄소 막은 2 g/cc 초과의 밀도 및 -500 MPa 미만의 응력을 가짐 ―를 포함하는, 방법.As a method of processing a substrate,
flowing a deposition gas comprising a hydrocarbon compound and a dopant compound into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck, wherein the processing volume is maintained at a pressure of about 0.5 mTorr to about 10 Torr; and
generating a plasma in the substrate by applying a first RF bias to the electrostatic chuck to deposit a doped diamond-like carbon film on the substrate, the doped diamond-like carbon film having a density greater than 2 g/cc and - having a stress of less than 500 MPa.
정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 볼륨 내로 탄화수소 화합물 및 도펀트 화합물을 포함하는 증착 가스를 유동시키는 단계 ― 상기 정전 척은 척킹 전극 및 상기 척킹 전극과 별개인 RF 전극을 가지며, 상기 프로세싱 볼륨은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지됨 ―; 및
상기 기판 상에 도핑된 다이아몬드형 탄소 막을 증착하기 위해, 상기 RF 전극에 제1 RF 바이어스를 및 상기 척킹 전극에 제2 RF 바이어스를 인가함으로써 상기 기판에 플라즈마를 생성하는 단계 ― 상기 도핑된 다이아몬드형 탄소 막은 2 g/cc 초과 내지 약 12 g/cc의 밀도 및 약 -600 MPa 내지 약 -300 MPa의 응력을 가지며, 상기 도핑된 다이아몬드형 탄소 막은 약 50 원자 퍼센트 내지 약 90 원자 퍼센트의 sp3 혼성화된 탄소 원자들을 포함함 ―를 포함하는, 방법.As a method of processing a substrate,
flowing a deposition gas comprising a hydrocarbon compound and a dopant compound into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck, the electrostatic chuck having a chucking electrode and an RF electrode separate from the chucking electrode, the processing the volume is maintained at a pressure of about 0.5 mTorr to about 10 Torr; and
generating a plasma in the substrate by applying a first RF bias to the RF electrode and a second RF bias to the chucking electrode to deposit a doped diamond-like carbon film on the substrate - the doped diamond-like carbon The film has a density of greater than 2 g/cc to about 12 g/cc and a stress of about -600 MPa to about -300 MPa, the doped diamond-like carbon film comprising about 50 atomic percent to about 90 atomic percent sp 3 hybridized comprising carbon atoms.
정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 볼륨 내로 탄화수소 화합물 및 도펀트 화합물을 포함하는 증착 가스를 유동시키는 단계 ― 상기 정전 척은 척킹 전극 및 상기 척킹 전극과 별개인 RF 전극을 가지며, 프로세싱 볼륨은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지됨 ―;
상기 기판 상에 도핑된 다이아몬드형 탄소 막을 증착하기 위해, 상기 RF 전극에 제1 RF 바이어스를 및 상기 척킹 전극에 제2 RF 바이어스를 인가함으로써 상기 기판에 플라즈마를 생성하는 단계 ― 상기 도핑된 다이아몬드형 탄소 막은 2 g/cc 초과 내지 약 12 g/cc의 밀도 및 약 -600 MPa 내지 약 -300 MPa의 응력을 가짐 ―;
상기 도핑된 다이아몬드형 탄소 막 위에 패터닝된 포토레지스트 층을 형성하는 단계;
상기 패터닝된 포토레지스트 층에 대응하는 패턴으로 상기 도핑된 다이아몬드형 탄소 막을 에칭하는 단계; 및
상기 기판 내로 상기 패턴을 에칭하는 단계를 포함하는, 방법.As a method of processing a substrate,
flowing a deposition gas comprising a hydrocarbon compound and a dopant compound into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck, the electrostatic chuck having a chucking electrode and an RF electrode separate from the chucking electrode, the processing volume is maintained at a pressure of about 0.5 mTorr to about 10 Torr;
generating a plasma in the substrate by applying a first RF bias to the RF electrode and a second RF bias to the chucking electrode to deposit a doped diamond-like carbon film on the substrate - the doped diamond-like carbon the membrane has a density of greater than 2 g/cc to about 12 g/cc and a stress of about -600 MPa to about -300 MPa;
forming a patterned photoresist layer over the doped diamond-like carbon film;
etching the doped diamond-like carbon film with a pattern corresponding to the patterned photoresist layer; and
etching the pattern into the substrate.
Applications Claiming Priority (7)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US16/915,110 | 2020-06-29 | ||
| US16/915,110 US20210407801A1 (en) | 2020-06-29 | 2020-06-29 | Methods for producing high-density doped-carbon films for hardmask and other patterning applications |
| US17/035,265 US11664226B2 (en) | 2020-06-29 | 2020-09-28 | Methods for producing high-density carbon films for hardmasks and other patterning applications |
| US17/035,192 | 2020-09-28 | ||
| US17/035,192 US11664214B2 (en) | 2020-06-29 | 2020-09-28 | Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications |
| US17/035,265 | 2020-09-28 | ||
| PCT/US2021/036114 WO2022005700A1 (en) | 2020-06-29 | 2021-06-07 | Methods for producing high-density doped-carbon films for hardmask and other patterning applications |
Publications (1)
| Publication Number | Publication Date |
|---|---|
| KR20230029911A true KR20230029911A (en) | 2023-03-03 |
Family
ID=79317112
Family Applications (3)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| KR1020237003026A Pending KR20230029912A (en) | 2020-06-29 | 2021-06-07 | Methods for producing high-density carbon films for hardmasks and other patterning applications |
| KR1020237003025A Ceased KR20230027297A (en) | 2020-06-29 | 2021-06-07 | Methods for producing high-density nitrogen-doped carbon films for hardmasks and other patterning applications |
| KR1020237003023A Ceased KR20230029911A (en) | 2020-06-29 | 2021-06-07 | Methods for Creating High Density Doped Carbon Films for Hardmask and Other Patterning Applications |
Family Applications Before (2)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| KR1020237003026A Pending KR20230029912A (en) | 2020-06-29 | 2021-06-07 | Methods for producing high-density carbon films for hardmasks and other patterning applications |
| KR1020237003025A Ceased KR20230027297A (en) | 2020-06-29 | 2021-06-07 | Methods for producing high-density nitrogen-doped carbon films for hardmasks and other patterning applications |
Country Status (5)
| Country | Link |
|---|---|
| JP (3) | JP7710474B2 (en) |
| KR (3) | KR20230029912A (en) |
| CN (3) | CN115885366A (en) |
| TW (3) | TW202200826A (en) |
| WO (3) | WO2022005704A1 (en) |
Families Citing this family (2)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2025019750A (en) * | 2023-07-28 | 2025-02-07 | 東京エレクトロン株式会社 | Substrate processing method |
| CN119859789B (en) * | 2025-01-17 | 2025-11-18 | 东莞市普拉提纳米科技有限公司 | An amorphous carbon film coating for cutting aluminum alloy tools and its preparation process |
Family Cites Families (13)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2620252B2 (en) * | 1987-09-17 | 1997-06-11 | 住友電気工業株式会社 | Method for producing nitrogen-containing hard carbon film |
| JP3281354B2 (en) | 1988-10-11 | 2002-05-13 | 株式会社半導体エネルギー研究所 | Method for producing diamond-like carbon film |
| US20090029067A1 (en) * | 2007-06-28 | 2009-01-29 | Sciamanna Steven F | Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors |
| US20110244142A1 (en) * | 2010-03-30 | 2011-10-06 | Applied Materials, Inc. | Nitrogen doped amorphous carbon hardmask |
| TW201216331A (en) | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
| US20140335700A1 (en) * | 2013-05-10 | 2014-11-13 | Infineon Technologies Ag | Carbon Layers for High Temperature Processes |
| US9320387B2 (en) | 2013-09-30 | 2016-04-26 | Lam Research Corporation | Sulfur doped carbon hard masks |
| KR102287813B1 (en) * | 2014-05-30 | 2021-08-10 | 삼성전자주식회사 | Hardmask composition and method of forming patterning using the hardmask composition |
| TWI656575B (en) * | 2014-09-03 | 2019-04-11 | 美商應用材料股份有限公司 | Nanocrystalline diamond carbon film for 3D NAND hard mask applications |
| US9520295B2 (en) | 2015-02-03 | 2016-12-13 | Lam Research Corporation | Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems |
| US10544505B2 (en) * | 2017-03-24 | 2020-01-28 | Applied Materials, Inc. | Deposition or treatment of diamond-like carbon in a plasma reactor |
| JP7235683B2 (en) | 2017-06-08 | 2023-03-08 | アプライド マテリアルズ インコーポレイテッド | Dense low temperature carbon films for hardmasks and other patterning applications |
| KR102758013B1 (en) | 2018-10-26 | 2025-01-21 | 어플라이드 머티어리얼스, 인코포레이티드 | High-density carbon films for patterning applications |
-
2021
- 2021-06-07 WO PCT/US2021/036195 patent/WO2022005704A1/en not_active Ceased
- 2021-06-07 KR KR1020237003026A patent/KR20230029912A/en active Pending
- 2021-06-07 JP JP2022580390A patent/JP7710474B2/en active Active
- 2021-06-07 CN CN202180043452.6A patent/CN115885366A/en active Pending
- 2021-06-07 KR KR1020237003025A patent/KR20230027297A/en not_active Ceased
- 2021-06-07 CN CN202180042770.0A patent/CN116075920A/en active Pending
- 2021-06-07 CN CN202180043981.6A patent/CN115917707A/en active Pending
- 2021-06-07 JP JP2022581496A patent/JP7678830B2/en active Active
- 2021-06-07 WO PCT/US2021/036188 patent/WO2022005703A1/en not_active Ceased
- 2021-06-07 WO PCT/US2021/036114 patent/WO2022005700A1/en not_active Ceased
- 2021-06-07 JP JP2023500017A patent/JP7704828B2/en active Active
- 2021-06-07 KR KR1020237003023A patent/KR20230029911A/en not_active Ceased
- 2021-06-18 TW TW110122305A patent/TW202200826A/en unknown
- 2021-06-18 TW TW110122295A patent/TW202200836A/en unknown
- 2021-06-18 TW TW110122277A patent/TW202200825A/en unknown
Also Published As
| Publication number | Publication date |
|---|---|
| JP7704828B2 (en) | 2025-07-08 |
| TW202200825A (en) | 2022-01-01 |
| TW202200826A (en) | 2022-01-01 |
| CN115885366A (en) | 2023-03-31 |
| JP2023533711A (en) | 2023-08-04 |
| WO2022005703A1 (en) | 2022-01-06 |
| KR20230029912A (en) | 2023-03-03 |
| KR20230027297A (en) | 2023-02-27 |
| JP2023532883A (en) | 2023-08-01 |
| CN115917707A (en) | 2023-04-04 |
| WO2022005700A1 (en) | 2022-01-06 |
| JP7710474B2 (en) | 2025-07-18 |
| JP2023532335A (en) | 2023-07-27 |
| WO2022005704A1 (en) | 2022-01-06 |
| CN116075920A (en) | 2023-05-05 |
| TW202200836A (en) | 2022-01-01 |
| JP7678830B2 (en) | 2025-05-16 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| US12211694B2 (en) | Ultra-high modulus and etch selectivity boron-carbon hardmask films | |
| JP7534492B2 (en) | High density low temperature carbon films for hardmasks and other patterning applications | |
| US20210407801A1 (en) | Methods for producing high-density doped-carbon films for hardmask and other patterning applications | |
| US11664226B2 (en) | Methods for producing high-density carbon films for hardmasks and other patterning applications | |
| JP7704828B2 (en) | Method for producing densely doped carbon films for hardmasks and other patterning applications - Patents.com | |
| US20250046599A1 (en) | Diamond-like carbon gap fill | |
| US20200266064A1 (en) | Method of processing a substrate | |
| US11664214B2 (en) | Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications | |
| US20250046611A1 (en) | Neutral stress diamond-like carbon | |
| US20250046610A1 (en) | Doped diamond-like carbon |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| PA0105 | International application |
St.27 status event code: A-0-1-A10-A15-nap-PA0105 |
|
| PG1501 | Laying open of application |
St.27 status event code: A-1-1-Q10-Q12-nap-PG1501 |
|
| R17-X000 | Change to representative recorded |
St.27 status event code: A-3-3-R10-R17-oth-X000 |
|
| A201 | Request for examination | ||
| PA0201 | Request for examination |
St.27 status event code: A-1-2-D10-D11-exm-PA0201 |
|
| E902 | Notification of reason for refusal | ||
| PE0902 | Notice of grounds for rejection |
St.27 status event code: A-1-2-D10-D21-exm-PE0902 |
|
| P11-X000 | Amendment of application requested |
St.27 status event code: A-2-2-P10-P11-nap-X000 |
|
| PE0601 | Decision on rejection of patent |
St.27 status event code: N-2-6-B10-B15-exm-PE0601 |