CN101170126B - 半导体结构及其制造方法 - Google Patents
半导体结构及其制造方法 Download PDFInfo
- Publication number
- CN101170126B CN101170126B CN2007101411858A CN200710141185A CN101170126B CN 101170126 B CN101170126 B CN 101170126B CN 2007101411858 A CN2007101411858 A CN 2007101411858A CN 200710141185 A CN200710141185 A CN 200710141185A CN 101170126 B CN101170126 B CN 101170126B
- Authority
- CN
- China
- Prior art keywords
- block
- block copolymer
- polystyrene
- annealing
- pmma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000034 method Methods 0.000 title claims abstract description 55
- 239000004065 semiconductor Substances 0.000 title claims abstract description 52
- 238000004519 manufacturing process Methods 0.000 title claims description 4
- 229920001400 block copolymer Polymers 0.000 claims abstract description 83
- 239000000758 substrate Substances 0.000 claims abstract description 30
- 229920000642 polymer Polymers 0.000 claims description 72
- 238000000137 annealing Methods 0.000 claims description 23
- 229920003229 poly(methyl methacrylate) Polymers 0.000 claims description 22
- 239000004926 polymethyl methacrylate Substances 0.000 claims description 22
- 230000008569 process Effects 0.000 claims description 18
- 239000004698 Polyethylene Substances 0.000 claims description 13
- 239000004020 conductor Substances 0.000 claims description 12
- 229920000573 polyethylene Polymers 0.000 claims description 12
- 239000011159 matrix material Substances 0.000 claims description 10
- 239000000203 mixture Substances 0.000 claims description 9
- 239000005062 Polybutadiene Substances 0.000 claims description 6
- 229920002857 polybutadiene Polymers 0.000 claims description 6
- 229920001195 polyisoprene Polymers 0.000 claims description 6
- 229920002717 polyvinylpyridine Polymers 0.000 claims description 6
- 239000002904 solvent Substances 0.000 claims description 5
- 230000008021 deposition Effects 0.000 claims description 2
- 239000012530 fluid Substances 0.000 claims description 2
- 238000005224 laser annealing Methods 0.000 claims description 2
- 239000002202 Polyethylene glycol Substances 0.000 claims 5
- 229920001223 polyethylene glycol Polymers 0.000 claims 5
- 238000001259 photo etching Methods 0.000 claims 2
- 229920000361 Poly(styrene)-block-poly(ethylene glycol) Polymers 0.000 claims 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 54
- 239000000463 material Substances 0.000 description 13
- -1 polyethylene Polymers 0.000 description 11
- 125000006850 spacer group Chemical group 0.000 description 11
- 238000000206 photolithography Methods 0.000 description 9
- 229920001577 copolymer Polymers 0.000 description 8
- 239000004793 Polystyrene Substances 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 238000002955 isolation Methods 0.000 description 6
- 238000005530 etching Methods 0.000 description 5
- 238000011049 filling Methods 0.000 description 5
- 238000005191 phase separation Methods 0.000 description 5
- 229920002120 photoresistant polymer Polymers 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 230000000737 periodic effect Effects 0.000 description 4
- 238000001338 self-assembly Methods 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 238000009736 wetting Methods 0.000 description 4
- 229920003171 Poly (ethylene oxide) Polymers 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- 238000003491 array Methods 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000000470 constituent Substances 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 229920000359 diblock copolymer Polymers 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 230000007935 neutral effect Effects 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 2
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 238000000224 chemical solution deposition Methods 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 238000000227 grinding Methods 0.000 description 2
- 239000008240 homogeneous mixture Substances 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229910052914 metal silicate Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 206010010144 Completed suicide Diseases 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000927 Ge alloy Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910002244 LaAlO3 Inorganic materials 0.000 description 1
- WMFYOYKPJLRMJI-UHFFFAOYSA-N Lercanidipine hydrochloride Chemical compound Cl.COC(=O)C1=C(C)NC(C)=C(C(=O)OC(C)(C)CN(C)CCC(C=2C=CC=CC=2)C=2C=CC=CC=2)C1C1=CC=CC([N+]([O-])=O)=C1 WMFYOYKPJLRMJI-UHFFFAOYSA-N 0.000 description 1
- 241000533950 Leucojum Species 0.000 description 1
- 229910003811 SiGeC Inorganic materials 0.000 description 1
- 229910002370 SrTiO3 Inorganic materials 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- 230000009477 glass transition Effects 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum oxide Inorganic materials [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 1
- 230000005285 magnetism related processes and functions Effects 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- KTUFCUMIWABKDW-UHFFFAOYSA-N oxo(oxolanthaniooxy)lanthanum Chemical compound O=[La]O[La]=O KTUFCUMIWABKDW-UHFFFAOYSA-N 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920005590 poly(ferrocenyl dimethylsilane) Polymers 0.000 description 1
- 229920002223 polystyrene Polymers 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 238000005546 reactive sputtering Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 239000004576 sand Substances 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 238000009987 spinning Methods 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28123—Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00015—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
- B81C1/00023—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
- B81C1/00031—Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y30/00—Nanotechnology for materials or surface science, e.g. nanocomposites
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/0223—Manufacture or treatment of FETs having insulated gates [IGFET] having source and drain regions or source and drain extensions self-aligned to sides of the gate
- H10D30/0225—Manufacture or treatment of FETs having insulated gates [IGFET] having source and drain regions or source and drain extensions self-aligned to sides of the gate using an initial gate mask complementary to the prospective gate location, e.g. using dummy source and drain electrodes
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0147—Film patterning
- B81C2201/0149—Forming nanoscale microstructures using auto-arranging or self-assembling material
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Nanotechnology (AREA)
- Inorganic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Composite Materials (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Semiconductor Memories (AREA)
Abstract
本发明提供一种包括位于半导体衬底表面上的至少一个晶体管的半导体结构,其中该至少一个晶体管具有亚光刻沟道长度。也提供一种使用自组装嵌段共聚物形成这种半导体结构的方法,其中自组装嵌段共聚物可以使用预制硬掩模图案而位于特定位置。
Description
技术领域
本发明涉及半导体器件制造,尤其涉及一种使用自组装共聚物形成具有亚光刻栅极长度的晶体管的方法。本发明也涉及一种包括具有亚光刻栅极长度的至少一个晶体管的半导体结构。
背景技术
在过去的大约二十五年,超大规模集成(VLSI)的主要挑战是具有高产量和可靠性的数目不断增加的金属氧化物半导体场效应晶体管(MOSFET)器件的集成。这在现有技术中主要通过按比例缩小MOSFET沟道长度而没有过度的短沟道效应来实现。如本领域技术人员已知的,短沟道效应是因栅极与源极/漏极扩散之间共有的二维静电电荷而引起的短沟道器件中阈值电压Vt的减小。
因为互补金属氧化物半导体(CMOS)器件的45nm节点和32nm节点的产生已成功,因此缩小晶体管的栅极长度势在必行。但是,缩小的努力受已经用于对这种晶体管的栅极构图的常规光刻印刷显著限制。在对栅极构图时使用的当前0.93NA(数值孔径)光刻工具仅可以分辨90nm线宽。未来的1.2NA浸入式光刻工具期望印刷70nm线宽。因而,存在将晶体管的栅极长度减小至60nm以下,优选地50nm以下的需求。
而且,常规光刻技术的线边缘粗糙度和临界尺寸变化导致因较小特征尺寸而引起的较显著的Vt变化。
考虑到上面,存在提供缩小半导体晶体管以具有亚光刻(小于60nm,优选地小于大约50nm)栅极长度的方法的需求。
发明内容
本发明提供一种具有位于半导体衬底表面上的至少一个晶体管的半导体结构,其中该至少一个晶体管具有亚光刻沟道长度。本发明也提供一种使用自组装嵌段共聚物形成这种半导体结构的方法,其中自组装嵌段共聚物可以使用预制硬掩模图案而位于特定位置。本发明的方法提供具有亚光刻沟道长度的半导体结构。应当注意,术语“亚光刻”遍及本申请而使用以表示低于60nm的尺寸,包括沟道长度。
在本发明的一个方面,提供一种半导体结构,包括:
位于半导体衬底表面上的至少一个晶体管,所述至少一个晶体管具有小于60nm的栅极长度和3西格马(3-sigma)小于6nm的线边缘粗糙度。
来自常规光刻技术饰图和光刻胶处理的线边缘粗糙度(LER)导致器件参数波动和器件不匹配,导致减小的工艺窗口和生产性能降低。随着器件尺寸缩小,粗糙度的影响增加。LER可以使用称作方差或‘西格马’的可变性参数统计地测量。常规已构图的栅极层叠的3西格马大于6nm。
在本发明的一种实施方案中,该至少一个晶体管是p型场效应晶体管(pFET)。在本发明的另一种实施方案中,该至少一个晶体管是n型场效应晶体管(nFET)。在本发明的又一种实施方案中,该结构包括至少一个pFET和至少一个nFET,其中两种类型的晶体管都具有亚光刻沟道长度。
在本发明的另一方面,提供一种制造包括位于半导体衬底的表面上具有亚光刻沟道长度的至少一个晶体管的半导体结构的方法。本发明的方法结合常规光刻技术和共聚物自组装技术以精确位置放置一个聚合物单元。聚合物自对准到预定义的光刻定义图案边界。然后可以选择性地去除单个聚合物,并且剩余的聚合物用作光掩模以对晶体管栅极构图。最终的特征尺寸由聚合物分子而不是由常规光刻技术确定。因为常规光刻技术没有在提供最终栅极晶体管时使用,从而避免了例如线边缘粗糙和CD变化的缺陷。
一般地,本发明的方法包括:
提供包括位于牺牲层和半导体衬底上的已构图的硬掩模的结构,其中所述已构图的硬掩模包括暴露所述牺牲层表面的至少一个光刻定义开口;
在该至少一个光刻定义开口内部提供具有单个单元聚合物嵌段的嵌段共聚物,其中单个单元聚合物嵌段包括嵌入于包括所述嵌段共聚物的第一聚合物嵌段成分的聚合物基体中的第二聚合物嵌段成分;
相对于第一聚合物嵌段成分选择性地去除第二聚合物嵌段成分,以在该至少一个光刻定义开口内部的聚合物基体中形成亚光刻开口;
将所述亚光刻开口转印到所述牺牲层;
去除嵌段共聚物和已构图的硬掩模;
在所述亚光刻开口内形成栅极电介质和栅极导体;以及
去除牺牲层。
如上提及的嵌段共聚物优选地包括A∶B嵌段共聚物,其中A是第一聚合物成分而B是第二聚合物成分,A∶B的重量比从大约20∶80至大约80∶20,并且单个单元聚合物嵌段优选地包括与半导体衬底的顶面垂直竖立的圆柱体。嵌段共聚物可以容易地选自聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA),聚苯乙烯-嵌段-聚异戊二烯(PS-b-PI),聚苯乙烯-嵌段-聚丁二烯(PS-b-PBD),聚苯乙烯-嵌段-聚乙烯吡啶(PS-b-PVP),聚苯乙烯-嵌段-聚氧化乙烯(PS-b-PEO),聚苯乙烯-嵌段-聚乙烯(PS-b-PE),聚苯乙烯-嵌段-聚有机硅酸盐(PS-b-POS),聚苯乙烯-嵌段-聚二茂铁基二甲基硅烷(PS-b-PFS),聚氧化乙烯-嵌段-聚异戊二烯(PEO-b-PI),聚氧化乙烯-嵌段-聚丁二烯(PEO-b-PBD),聚氧化乙烯-嵌段-聚甲基丙烯酸甲酯(PEO-b-PMMA),聚氧化乙烯-嵌段-聚乙基乙烯(PEO-b-PEE),聚丁二烯-嵌段-聚乙烯吡啶(PBD-b-PVP),以及聚异戊二烯-嵌段-聚甲基丙烯酸甲酯(PI-b-PMMA)。在本发明的特别优选但不是必要的实施方案中,嵌段共聚物包括PS∶PMMA重量比从大约20∶80至大约80∶20变化的PS-b-PMMA。
本发明的其他方面、特征和优点将从随后的公开内容和附加权利要求中更充分地明白。
附图说明
图1A-1B是包括位于牺牲层和半导体衬底顶上的具有至少一个光刻定义开口的已构图的硬掩模的结构的图示(通过自顶向下和横截面视图)。
图2A-2B是在将自组装共聚物涂敷于其上之后图1A-1B的结构的图示(通过自顶向下和横截面视图)。
图3A-3B是在退火自组装共聚物之后图2A-2B的结构的图示(通过自顶向下和横截面视图)。
图4A-4B是在选择性地去除自组装共聚物的聚合物成分的一种从而在所述共聚物中形成至少一个亚光刻开口之后图3A-3B的结构的图示(通过自顶向下和横截面视图)。
图5A-5B是在扩展亚光刻开口穿过牺牲层之后图4A-4B的结构的图示(通过自顶向下和横截面视图)。
图6A-6B是在剥离共聚物和已构图的硬掩模之后图6A-6B的结构的图示(通过自顶向下和横截面视图)。
图7A-7B是通过扩展的亚光刻开口在半导体衬底的暴露表面上形成栅极电介质之后图6A-6B的结构的图示(通过自顶向下和横截面视图)。
图8A-8B是通过扩展的亚光刻开口在栅极电介质上形成栅极导体之后图7A-7B的结构的图示(通过自顶向下和横截面视图)。
图9A-9B是在从图8A-8B的结构去除牺牲层之后图8A-8B的结构的图示(通过自顶向下和横截面视图)。
图10A-10B是在栅极导体/栅极电介质层叠的侧壁上形成至少一个隔离物之后图9A-9B的结构的图示(通过自顶向下和横截面视图)。
具体实施方式
现在将通过参考下面的描述和附随本申请的附图更详细地描述本发明,其提供一种包括具有亚光刻栅极长度的至少一个晶体管的半导体结构以及一种制造它的方法。应当注意,本发明的附图为了说明的目的而提供,因而它们不按比例绘制。在每个附图中,附图A是自顶向下视图而附图B是通过线A-A的横截面视图。
在下面的描述中,陈述许多具体的细节,例如特定的结构、组件、材料、尺寸、处理步骤和技术,以便提供本发明的充分理解。但是,本领域技术人员应当理解,本发明可以不使用这些具体细节而实践。在其他实例中,没有详细地描述众所周知的结构或处理步骤以避免混淆本发明。
应当理解,当一个元件例如层、区域或衬底称作位于另一个元件“上”或“上方”时,它可以直接位于另一个元件上或者也可以存在中间元件。相反地,当一个元件称作“直接”位于另一个元件“上”或“上方”时,不存在中间元件。同样应当理解,当一个元件称作“连接”或“耦接”到另一个元件时,它可以直接连接或耦接到另一个元件或者可能存在中间元件。相反地,当一个元件称作“直接连接”或“直接耦接”到另一个元件时,不存在中间元件。
如上所述,提供一种具有位于半导体衬底表面上的至少一个晶体管的半导体结构,其中该至少一个晶体管具有亚光刻沟道长度。本发明也提供一种使用自组装嵌段共聚物形成这种半导体结构的方法,其中自组装嵌段共聚物可以位于使用预制硬掩模图案的特定位置。本发明的方法提供具有亚光刻沟道长度(例如小于60nm的栅极长度)以及比通过常规处理获得的更平滑的线边缘粗糙度的半导体结构。
现在参考各个附图,其说明在本发明中使用以形成包括亚光刻栅极晶体管的半导体结构的基本工艺步骤。本发明的工艺结合常规光刻技术和共聚物自组装技术而以精确位置放置一个聚合物单元。聚合物自对准到预定义的光刻定义图案边界。然后可以选择性地去除单个聚合物,并且剩余的聚合物用作光掩模以对晶体管栅极构图。最终的特征尺寸由聚合物分子而不是由常规光刻技术确定。因为常规光刻技术没有在提供最终栅极晶体管时使用,从而避免了例如线边缘粗糙和CD变化的缺陷。
参考图1A-1B,其说明在本发明中使用以制造亚光刻栅极晶体管的初始结构10。如所示,初始结构10包括半导体衬底12、位于半导体衬底12的表面上的牺牲层14,以及位于牺牲层14的表面上具有至少一个光刻定义掩模开口18的已构图的硬掩模16。该至少一个光刻定义掩模开口18暴露部分的底层牺牲层14。
图1A-1B中显示的结构10利用对本领域技术人员众所周知的常规材料和技术形成。例如,首先提供半导体衬底12。半导体衬底12包括任何半导体材料,包括例如Si,SiGe,SiGeC,SiC,Ge合金,GaAs,InAs,InP以及其他III-V或II-VI化合物半导体。半导体衬底12也包括分层半导体例如Si/SiGe,或者绝缘体上半导体(SOI)。优选地,半导体衬底12由含Si的半导体材料,也就是包括Si的半导体材料构成。半导体衬底12可以掺杂、无掺杂或者包含掺杂和无掺杂区二者于其中。
半导体衬底可能具有单个晶体取向或者可以使用包括不同晶体取向的区域的混合衬底。当使用混合衬底时,不同晶体取向的区域可以彼此共面。混合半导体衬底提供在提供最佳载流子迁移率的表面取向上形成晶体管的能力。例如,当使用Si时,电子迁移率在{100}表面取向上较高,而空穴迁移率在{110}表面取向上较高。在这种情况下,{100}Si表面用作形成nFET的器件层,而{110}Si表面用作形成pFET的器件层。这种混合衬底的形成对本领域技术人员是众所周知的,因而,这里不提供任何关于它们的形成的细节。
在本发明的一些实施方案中,至少一个隔离区,例如,沟槽隔离或场氧化物隔离区在半导体衬底12中形成以彼此隔离不同的器件区。沟槽隔离区利用对本领域技术人员众所周知的常规沟槽隔离工艺形成。例如,沟槽的光刻、刻蚀以及使用沟槽电介质例如氧化物的沟槽填充可以在形成它时使用。可选地,可以在沟槽填充之前在沟槽中形成衬垫,稠化步骤可以在沟槽填充之后执行并且也可以在沟槽填充之后进行平面化工艺。场氧化物隔离区利用所谓硅的局部氧化工艺而形成。
在提供半导体衬底12之后,牺牲层14在衬底12的表面上形成。牺牲层14典型地包括氧化物例如氧化硅或氧氮化硅。牺牲层14利用常规沉积工艺形成,包括例如化学汽相沉积(CVD),等离子增强化学汽相沉积(PECVD),蒸发,化学溶液沉积以及原子层沉积(ALD)。作为选择,热氧化可以用来形成牺牲层14。牺牲层14也可以利用前述工艺的任意的组合而形成。
沉积的牺牲层14的厚度可以依赖于例如在形成它时使用的技术而变化。
在牺牲层14形成之后,硬掩模层在牺牲层14的表面上形成。硬掩模层典型地包括氮化物,例如氮化硅。硬掩模层利用常规沉积工艺和/或热硝化作用而形成。硬掩模层的厚度可以依赖于在形成它时使用的技术而变化。典型地,硬掩模层具有大约20至大约60nm的厚度,大约30至大约50nm的厚度更典型。
在牺牲层的表面上形成硬掩模层之后,对硬掩模层进行构图以形成具有至少一个光刻定义掩模开口18于其中的已构图的硬掩模16。已构图的硬掩模16通过将光刻胶(没有显示)涂敷到硬掩模层、将光刻胶暴露于辐射图案、显影暴露的光刻胶材料以在硬掩模层的顶上提供已构图的光刻胶、此后通过刻蚀将图案转印到硬掩模层中而形成。干法刻蚀工艺(包括反应离子刻蚀、离子束刻蚀和等离子刻蚀)或湿法化学刻蚀工艺可以用来对硬掩模层进行构图。
已构图的硬掩模16中的光刻定义掩模开口18的宽度(W)由常规光刻技术的最小印刷临界尺寸(CD)所限制,并且不能通过仅使用常规光刻技术而进一步减小。典型地,宽度W为大约80nm或更大。本发明因此使用自组装嵌段共聚物以在光刻定义掩模开口18中形成亚光刻图案。
已知某些材料能够将材料自发组织成有序图案而不需要人的干涉,这典型地称作材料的自组装。材料自组装的实例从雪花到贝壳到沙丘,所有都响应外部条件形成某种类型的规则或有序图案。
在各种自组装材料中,能够自组织成纳米级图案的自组装嵌段共聚物特别有希望能够推动半导体技术的未来进展。每种自组装嵌段共聚物系统典型地包含两种或多种彼此不能融合的不同聚合物嵌段成分。在适当的条件下,该两种或多种不能融合的聚合物嵌段成分分离成纳米级的两种或多种不同相位,从而形成隔离的纳米尺寸结构单元的有序图案。
由自组装嵌段共聚物形成的隔离的纳米尺寸结构单元的这种有序图案可以用于制造半导体、光学和磁性器件中的纳米级结构单元。具体地,如此形成的结构单元的尺寸典型地在10-40nm的范围内,这是亚光刻的(也就是低于光刻工具的分辨率)。此外,自组装嵌段共聚物与常规半导体、光学和磁性工艺兼容。因此,由这种嵌段共聚物形成的纳米尺寸结构单元的有序图案已经集成到需要重复结构单元的大的有序阵列的半导体、光学和磁性器件中。
但是,CMOS技术需要各个结构单元的精确布置或定位以形成半导体器件。因此,由自组装嵌段共聚物形成的重复结构单元的大的有序阵列不能在CMOS器件中使用,因为缺乏各个结构单元的位置的对准或定位。
本发明提供一种结合常规光刻技术与自组装嵌段共聚物技术以在光刻定义掩模开口18内形成亚光刻图案的方法。
具体地,首先将自组装嵌段共聚物(厚度典型地在大约20nm至100nm的范围内)的薄层涂敷在图1A-1B中显示的结构上,然后退火以在已经形成的光刻定义掩模开口18内部形成包含重复结构单元的有序图案。
图2A-2B显示在将薄的自组装嵌段共聚物20涂敷到图1A-1B中显示的结构之后的结构,而图3A-3B显示在形成退火后的嵌段共聚物20′的退火之后的结构。
应当注意,仔细调节光刻定义掩模开口18的宽度(W)使得仅单个单元聚合物嵌段可以由自组装嵌段共聚物在其中形成。单个单元聚合物嵌段嵌入在位于光刻定义掩模开口18内部的聚合物基体中,并且它具有小于光刻定义掩模开口18的直径的宽度。可以相对于聚合物基体选择性地去除单个单元聚合物嵌段,从而在光刻定义掩模开口18′内部的聚合物基体中剩余更小宽度的单个开口。然后更小宽度的单个开口可以用于亚光刻栅极晶体管。
存在可以用于实践本发明的许多不同类型的嵌段共聚物。只要嵌段共聚物包含彼此不是不能融合的两种或多种不同的聚合物嵌段成分,这两种或多种不同的聚合物嵌段成分能够在适当条件下分离成纳米级的两种或多种不同相位,从而形成隔离的纳米尺寸结构单元的图案。
在本发明的优选,但不是必要的实施方案中,嵌段共聚物基本上包括彼此不能融合的第一聚合物成分(A)和第二聚合物嵌段成分(B)。嵌段共聚物可以包含以任何方式排列的任何数目的聚合物嵌段成分A和B。嵌段共聚物可以具有线性或分支结构。优选地,这种嵌段聚合物是具有分子式A-B的线性双嵌段共聚物。
可以用于形成本发明的结构单元的适当嵌段共聚物的具体实例可以包括,但不局限于:聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA),聚苯乙烯-嵌段-聚异戊二烯(PS-b-PI),聚苯乙烯-嵌段-聚丁二烯(PS-b-PBD),聚苯乙烯-嵌段-聚乙烯吡啶(PS-b-PVP),聚苯乙烯-嵌段-聚氧化乙烯(PS-b-PEO),聚苯乙烯-嵌段-聚乙烯(PS-b-PE),聚苯乙烯-嵌段-聚有机硅酸盐(PS-b-POS),聚苯乙烯-嵌段-聚二茂铁基二甲基硅烷(PS-b-PFS),聚氧化乙烯-嵌段-聚异戊二烯(PEO-b-PI),聚氧化乙烯-嵌段-聚丁二烯(PEO-b-PBD),聚氧化乙烯-嵌段-聚甲基丙烯酸甲酯(PEO-b-PMMA),聚氧化乙烯-嵌段-聚乙基乙烯(PEO-b-PEE),聚丁二烯-嵌段-聚乙烯吡啶(PBD-b-PVP),以及聚异戊二烯-嵌段-聚甲基丙烯酸甲酯(PI-b-PMMA)。
由嵌段共聚物形成的具体结构单元由第一和第二聚合物嵌段成分A和B之间的分子量比值确定。例如,当第一聚合物嵌段成分A的分子量与第二聚合物嵌段成分B的分子量的比值大于大约80∶20时,嵌段共聚物将在由第一聚合物嵌段成分A构成的基体中形成由第二聚合物嵌段成分B构成的球体的有序阵列。当第一聚合物嵌段成分A的分子量与第二聚合物嵌段成分B的分子量的比值小于大约80∶20但是大于大约60∶40时,嵌段共聚物将在由第一聚合物嵌段成分A构成的基体中形成由第二聚合物嵌段成分B构成的圆柱体的有序阵列。当第一聚合物嵌段成分A的分子量与第二聚合物嵌段成分B的分子量的比值小于大约60∶40但是大于大约40∶60时,嵌段共聚物将形成由第一和第二聚合物嵌段成分A和B构成的交替薄层。因此,可以容易地调节本发明的嵌段共聚物中第一和第二聚合物嵌段成分A和B之间的分子量比值,以便形成期望的结构单元。
在本发明的优选实施方案中,第一聚合物嵌段成分A的分子量与第二聚合物嵌段成分B的分子量的比值在大约60∶40至大约80∶20的范围变化,所以本发明的嵌段共聚物将形成第一聚合物嵌段成分A和第二聚合物嵌段成分B的交替层。
优选地,成分A和B的一种可以相对于另一种而选择性地去除,从而产生由未去除的成分构成的有序排列的结构单元。例如,当相对于第一聚合物嵌段成分A可以选择性地去除第二聚合物嵌段成分B时,可以形成有序排列的沟槽。
在本发明的一个具体的优选实施方案中,用于形成本发明的自组装周期性图案的嵌段共聚物是PS-b-PMMA,PS∶PMMA分子量比值在大约20∶80至大约80∶20的范围变化。
典型地,嵌段共聚物中不同聚合物嵌段成分之间的相互排斥由术语χN表征,其中χ是Flory-Huggins交互作用参数并且N是聚合度。χN越高,嵌段共聚物中不同嵌段之间的排斥越高,并且它们之间的相位分离越可能。当χN>10(这在下文称作强分离极限)时,在嵌段共聚物中的不同嵌段之间存在发生相位分离的强烈趋势。
对于PS-b-PMMA双嵌段共聚物,χ可以计算为大约0.028+3.9/T,其中T是绝对温度。因此,χ在473K(≈200℃)下为大约0.0362。当PS-b-PMMA双嵌段共聚物的分子量(Mn)大约为64Kg/mol,分子量比值(PS∶PMMA)大约为66∶34时,聚合度N大约为622.9,所以χN在200℃下大约为22.5。
如此,通过调节一个或多个参数例如成分、总体分子量和退火温度,可以容易地控制本发明的嵌段共聚物中不同聚合物嵌段成分之间的相互强制作用以实现不同嵌段成分之间的期望相位分离。相位分离又导致包含重复结构单元的有序阵列(也就是球体、圆柱体或薄层)的自组装周期性图案的形成,如上所述。
为了形成自组装周期性图案,嵌段共聚物首先溶解在适当的溶剂系统中以形成嵌段共聚物溶液,然后将其涂敷到表面上以形成薄的嵌段共聚物层,紧接着退火薄的嵌段共聚物层,从而实现包含在嵌段共聚物中的不同聚合物嵌段成分之间的相位分离。
用于溶解嵌段共聚物并形成嵌段共聚物溶液的溶剂系统可以包含任何适当的溶剂,包括但不局限于:甲苯、丙二醇单甲醚醋酸盐(PGMEA),丙二醇单甲醚(PGME)和丙酮。嵌段共聚物溶液优选地以根据溶液的总重量从大约0.1%至大约2%范围的浓度包含嵌段共聚物。更优地,嵌段共聚物溶液以从大约0.5wt%至大约1.5wt%范围的浓度包含嵌段共聚物。
嵌段共聚物溶液可以通过任何适当的技术涂敷到结构表面,包括但不局限于:旋压、涂敷、喷射、墨水涂敷、浸渍涂敷等。优选地,嵌段共聚物溶液旋压到结构的表面上以在其上形成薄的嵌段共聚物层。
在薄的嵌段共聚物层涂敷到互连表面之后,例如图2A-2B中显示的,对整个结构退火以实现由嵌段共聚物包含的不同嵌段成分的微相分离,从而形成重复结构单元的周期性图案。图3A-3B显示退火之后的结构。本发明中嵌段共聚物的退火可以由本领域中已知的各种方法实现,包括但不局限于:热退火(在真空中或者在包含氮或氩的惰性环境中),紫外线退火,激光退火,溶剂蒸汽辅助退火(在室温下或高于室温),以及超临界流体辅助退火,这里不详细描述以避免混淆本发明。
在本发明的一个具体的优选实施方案中,执行热退火步骤以在升高的退火温度下退火嵌段共聚物层,其中退火温度高于嵌段共聚物的玻璃相变温度(Tg),但是低于嵌段共聚物的分解或降解温度(Td)。更优地,在大约200℃-300℃的退火温度下执行热退火步骤。热退火可以持续从小于大约1小时至大约100小时,更典型地从大约1小时至大约15小时。在本发明的另一个实施方案中,嵌段共聚物层由紫外线(UV)处理退火。
应当注意,退火的嵌段共聚物20′可以包含第一聚合物成分A和第二聚合物成分B,其中图案是在由聚合物嵌段成分A构成的聚合物基体中由嵌段成分B构成的圆柱体的有序阵列。圆柱体的有序阵列与牺牲层14的表面垂直对准的这种退火嵌段共聚物在图3A-3B中显示。应当注意,在说明的实施方案中,B嵌段可以用来提供具有大约10至大约40nm的宽度的亚光刻开口到牺牲层14中。这通过使圆柱形嵌段与牺牲层14的表面垂直对准来实现。圆柱体嵌段的特定对准由下面更详细描述的表面浸润条件确定。
本发明使用光刻特征以限制由自组装嵌段共聚物材料形成的结构单元的形成和布局。更具体地,如由本发明使用的光刻特征具有这样的尺寸,调节该尺寸使得仅单个单元聚合物嵌段可以由自组装嵌段共聚物形成并定位在每个光刻特征内部。
可选地,但不是必需地,在涂敷嵌段共聚物层之前处理光刻定义掩模开口18的内表面。具体地,一个或多个表面层在光刻定义掩模开口18的底面和侧壁表面上形成,以便提供使得将要形成的单元聚合物嵌段的交替层与光刻定义掩模开口18对准的期望浸润性质。
如这里讨论的浸润性质是指特定表面相对于嵌段共聚物的不同嵌段成分的表面亲和性。例如,如果表面对嵌段共聚物的嵌段成分A和B具有基本上相同的表面亲和性,则这种表面认为是中性表面或非选择性表面,也就是嵌段成分A和B都可以浸润这种表面或对这种表面具有亲和性。相反地,如果表面具有对于嵌段成分A和B的显著不同的表面亲和性,那么这种表面认为是选择性表面,也就是,嵌段成分A和B中仅一种可以浸润这种表面,但是另一种不可以。
包含硅天然氧化物、氧化硅和氮化硅的一种的表面由PMMA嵌段成分选择性浸润,但是不能由PS嵌段成分浸润。因此,这种表面可以用作PS-b-PMMA嵌段共聚物的选择性表面。另一方面,包含PS和PMMA成分的基本上同质混合物的单层,例如随机PS-r-PMMA共聚物层,提供对于PS-b-PMMA嵌段共聚物的中性表面或非选择性表面。
为了从PS-b-PMMA形成与光刻定义掩模开口18的底面垂直对准的聚合物嵌段的交替层,在光刻定义掩模开口18的底面上沉积中性或非选择性单层(例如PS和PMMA成分的基本上同质混合物),而光刻定义掩模开口18的侧壁表面或者保持不处理或者涂有选择性浸润材料(例如硅天然氧化物、氧化硅和氮化硅)。如此,由PS-b-PMMA形成的聚合物嵌段的交替层将垂直于光刻掩模开口18的底面。
图4A-4B显示在从其中刻蚀第二聚合物嵌段共聚物成分B之后的结构。如所示,作为结果的结构现在包括在退火的嵌段共聚物20′中具有小于60nm,优选地小于大约50nm的宽度的亚光刻开口22。亚光刻开口22通过相对于第一聚合物嵌段共聚物成分A选择性地刻蚀(经由干法刻蚀或湿法刻蚀工艺)第二聚合物嵌段共聚物成分B形成。
图5A-5B显示在扩展亚光刻开口22穿过部分底层牺牲层14,在衬底12的顶面上停止之后的结构。亚光刻开口22的扩展利用刻蚀工艺例如反应离子刻蚀而执行。
图6A-6B显示在从结构去除剩余的嵌段共聚物和已构图的硬掩模16之后形成的结构。剩余的嵌段共聚物可以利用对本领域技术人员众所周知的常规剥离工艺去除。已构图的硬掩模16可以由常规平面化工艺例如化学机械抛光(CMP)和/或研磨去除。平面化工艺在包括亚光刻开口22于其中的底层牺牲层14的顶面上停止。
图7A-7B说明通过亚光刻开口22在半导体衬底12的暴露表面上形成栅极电介质24之后的结构。在栅极电介质24形成之前,可以执行离子注入步骤以n型或p型掺杂沟道区。在适当的情况下,嵌段掩模可以用来防止半导体衬底12的暴露部分中的多余掺杂。当形成nFET和pFET时可以使用这种实施方案。
栅极电介质24包括任何适当的电介质材料,包括但不局限于氧化物、氮化物、氧氮化物、硅酸盐(例如金属硅酸盐或氮化金属硅酸盐)及其多层。在一种实施方案中,栅极电介质24包括氧化物例如SiO2,HfO2,ZrO2,Al2O3,TiO2,La2O3,SrTiO3,LaAlO3及其多层是优选的。栅极电介质24的物理厚度可以依赖于在形成它时使用的具体技术而变化。典型地,栅极电介质24具有大约0.5至大约10nm的厚度,大约1至大约7nm的厚度更典型。
栅极电介质24可以由常规沉积工艺例如CVD、PECVD、ALD、蒸发、反应溅射和化学溶液沉积形成。也可以使用热工艺例如氧化、氮化或氧氮化。栅极电介质24也可以利用上述技术的组合形成。
图8A-8B显示在使用栅极导体26填充亚光刻开口22的剩余部分并平面化之后的结构。栅极导体26可以包括任何适当的导电材料,包括但不局限于:掺杂的多晶硅、掺杂的SiGe、金属元素、金属元素的合金、金属硅化物及其多层。栅极导体26利用对本领域技术人员众所周知的常规技术形成。在导电材料沉积之后,使用常规平面化工艺例如CMP和/或研蘑。
图9A-9B显示在从其中去除牺牲层14之后形成的作为结果的结构。选择性地去除牺牲层14的任何常规内刻蚀工艺可以在本发明中使用。
图10A-10B显示在栅极导体/栅极电介质层叠的侧壁上形成至少一个隔离物之后的结构。该至少一个隔离物可以包括单个隔离物或一对隔离物,如图10A-10B中显示的。该对隔离物包括内隔离物28和外隔离物30。隔离物利用对本领域技术人员众所周知的常规处理形成。扩展注入典型地在内隔离物形成之后执行,并且源极/漏极扩散区在外隔离物形成之后形成。在形成扩展区(没有具体显示)和源极/漏极扩散(没有具体显示)时使用的注入由常规离子注入执行。激活退火用来激活注入的掺杂区。
在本发明的这一点上,可以执行更多的CMOS和/或互连处理。例如,可以形成应力衬垫以加压沟道区,并且硅化物触点可以在源极/漏极扩散的顶上、以及可选地在栅极导体(如果由多晶硅或SiGe构成)的顶上形成。
因为本发明的方法,包括沟道长度的晶体管的最终特征小于60nm。应当注意,上述处理步骤可以用来形成可能相同或可能不相同导电型的多个亚光刻栅极晶体管。同样应当注意,由本发明的方法形成的晶体管的线边缘粗糙度比通过常规技术获得的更平滑。
虽然本发明已经关于其优选实施方案而特别地显示和描述,但是本领域技术人员应当理解,可以进行形式和细节的前述和其他变化而不背离本发明的本质和范围。因此,本发明并不打算局限于描述和说明的确切形式和细节,而是落在附加权利要求的范围内。
Claims (6)
1.一种制造具有亚光刻沟道长度的半导体结构的方法,包括以下步骤:
提供包括位于牺牲层和半导体衬底上的已构图的硬掩模的结构,其中所述已构图的硬掩模包括暴露所述牺牲层的表面的至少一个光刻定义开口;
在该至少一个光刻定义开口内部提供具有单个单元聚合物嵌段的嵌段共聚物,其中所述单个单元聚合物嵌段包括嵌入于包括所述嵌段共聚物的第一聚合物嵌段成分的聚合物基体中的第二聚合物嵌段成分;
相对于第一聚合物嵌段成分选择性地去除第二聚合物嵌段成分,以在该至少一个光刻定义开口内部的聚合物基体中形成亚光刻开口;
将所述亚光刻开口转印到所述牺牲层;
去除嵌段共聚物和已构图的硬掩模;
在所述亚光刻开口内形成栅极电介质和栅极导体;以及
去除牺牲层,
其中,亚光刻表示低于60nm的尺寸,所述亚光刻开口具有小于60nm的宽度,所述栅极电介质和所述栅极导体具有小于60nm的特征尺寸和按照3西格马小于6nm的线边缘粗糙度。
2.根据权利要求1的方法,其中所述嵌段共聚物选自聚苯乙烯-嵌段-聚甲基丙烯酸甲酯PS-b-PMMA,聚苯乙烯-嵌段-聚异戊二烯PS-b-PI,聚苯乙烯-嵌段-聚丁二烯PS-b-PBD,聚苯乙烯-嵌段-聚乙烯吡啶PS-b-PVP,聚苯乙烯-嵌段-聚氧化乙烯PS-b-PEO,聚苯乙烯-嵌段-聚乙烯PS-b-PE,聚苯乙烯-嵌段-聚有机硅酸盐PS-b-POS,聚苯乙烯-嵌段-聚二茂铁基二甲基硅烷PS-b-PFS,聚氧化乙烯-嵌段-聚异戊二烯PEO-b-PI,聚氧化乙烯-嵌段-聚丁二烯PEO-b-PBD,聚氧化乙烯-嵌段-聚甲基丙烯酸甲酯PEO-b-PMMA,聚氧化乙烯-嵌段-聚乙基乙烯PEO-b-PEE,聚丁二烯-嵌段-聚乙烯吡啶PBD-b-PVP,以及聚异戊二烯-嵌段-聚甲基丙烯酸甲酯PI-b-PMMA。
3.根据权利要求2的方法,其中所述嵌段共聚物包括PS∶PMMA重量比范围从大约20∶80至大约80∶20的PS-b-PMMA。
4.根据权利要求1的方法,其中嵌段共聚物的所述第二聚合物共聚物成分包括与所述半导体衬底的表面垂直对准的圆柱体的有序阵列。
5.根据权利要求1的方法,其中所述提供所述嵌段共聚物的步骤包括沉积和退火。
6.根据权利要求5的方法,其中所述退火包括热退火、紫外线退火、激光退火、溶剂蒸汽辅助退火以及超临界流体辅助退火的至少一种。
Applications Claiming Priority (2)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US11/552,641 US7384852B2 (en) | 2006-10-25 | 2006-10-25 | Sub-lithographic gate length transistor using self-assembling polymers |
| US11/552,641 | 2006-10-25 |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| CN101170126A CN101170126A (zh) | 2008-04-30 |
| CN101170126B true CN101170126B (zh) | 2011-06-08 |
Family
ID=39329102
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| CN2007101411858A Expired - Fee Related CN101170126B (zh) | 2006-10-25 | 2007-08-13 | 半导体结构及其制造方法 |
Country Status (2)
| Country | Link |
|---|---|
| US (2) | US7384852B2 (zh) |
| CN (1) | CN101170126B (zh) |
Families Citing this family (29)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| KR100739000B1 (ko) * | 2006-09-11 | 2007-07-12 | 삼성전자주식회사 | 상변화 기억 소자의 형성 방법 |
| US7790045B1 (en) * | 2006-09-13 | 2010-09-07 | Massachusetts Institute Of Technology | Formation of close-packed sphere arrays in V-shaped grooves |
| US7943452B2 (en) * | 2006-12-12 | 2011-05-17 | International Business Machines Corporation | Gate conductor structure |
| US7767099B2 (en) * | 2007-01-26 | 2010-08-03 | International Business Machines Corporaiton | Sub-lithographic interconnect patterning using self-assembling polymers |
| US8343713B2 (en) * | 2008-08-08 | 2013-01-01 | Macronix International Co., Ltd. | Method for patterning material layer |
| US8361704B2 (en) * | 2009-01-12 | 2013-01-29 | International Business Machines Corporation | Method for reducing tip-to-tip spacing between lines |
| US8398868B2 (en) * | 2009-05-19 | 2013-03-19 | International Business Machines Corporation | Directed self-assembly of block copolymers using segmented prepatterns |
| US8114306B2 (en) * | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
| US8349203B2 (en) * | 2009-09-04 | 2013-01-08 | International Business Machines Corporation | Method of forming self-assembled patterns using block copolymers, and articles thereof |
| US7939446B1 (en) | 2009-11-11 | 2011-05-10 | International Business Machines Corporation | Process for reversing tone of patterns on integerated circuit and structural process for nanoscale fabrication |
| US8304493B2 (en) * | 2010-08-20 | 2012-11-06 | Micron Technology, Inc. | Methods of forming block copolymers |
| CN102468435B (zh) * | 2010-11-18 | 2014-06-04 | 中芯国际集成电路制造(上海)有限公司 | 相变存储器的制作方法 |
| CN102956451B (zh) * | 2011-08-17 | 2017-02-01 | 中国科学院微电子研究所 | 半导体器件的制造方法 |
| CN103094182B (zh) | 2011-10-28 | 2015-06-17 | 中芯国际集成电路制造(北京)有限公司 | 一种半导体器件制作方法 |
| CN103094095B (zh) * | 2011-10-28 | 2015-10-21 | 中芯国际集成电路制造(北京)有限公司 | 制造半导体器件的方法 |
| KR101678044B1 (ko) | 2011-12-19 | 2016-11-21 | 인텔 코포레이션 | 비평면 iii-n 트랜지스터 |
| KR102156005B1 (ko) * | 2012-07-10 | 2020-09-15 | 가부시키가이샤 니콘 | 마크 형성 방법 및 디바이스 제조 방법 |
| CN103633029B (zh) * | 2012-08-28 | 2016-11-23 | 中国科学院微电子研究所 | 半导体结构及其制造方法 |
| CN103681249B (zh) * | 2012-09-05 | 2017-07-28 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件精细图案的制作方法 |
| US9054215B2 (en) * | 2012-12-18 | 2015-06-09 | Intel Corporation | Patterning of vertical nanowire transistor channel and gate with directed self assembly |
| JP2015015425A (ja) * | 2013-07-08 | 2015-01-22 | 株式会社東芝 | パターン形成方法 |
| CN104425378B (zh) * | 2013-09-04 | 2017-07-14 | 中芯国际集成电路制造(上海)有限公司 | Cmos反相器的栅极的形成方法 |
| CN105719959A (zh) * | 2014-12-04 | 2016-06-29 | 中芯国际集成电路制造(上海)有限公司 | 栅极的形成方法及mos晶体管的制造方法 |
| WO2017111822A1 (en) * | 2015-12-24 | 2017-06-29 | Intel Corporation | Pitch division using directed self-assembly |
| CN106960791B (zh) * | 2016-01-11 | 2019-12-03 | 中芯国际集成电路制造(上海)有限公司 | 晶体管及其形成方法 |
| DE112016007034T5 (de) | 2016-07-01 | 2019-03-21 | Intel Corporation | Trigate- und finfet-bauelemente mit selbstausgerichtetem gate-rand |
| US10133179B2 (en) | 2016-07-29 | 2018-11-20 | Rohm And Haas Electronic Materials Llc | Pattern treatment methods |
| US10475905B2 (en) * | 2018-02-01 | 2019-11-12 | International Business Machines Corporation | Techniques for vertical FET gate length control |
| TWI875029B (zh) * | 2022-06-14 | 2025-03-01 | 新加坡商發明與合作實驗室有限公司 | 電晶體結構及其製造方法 |
Citations (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| CN1779903A (zh) * | 2004-10-20 | 2006-05-31 | 国际商业机器公司 | 氧化侧壁图像传递图形化方法 |
Family Cites Families (11)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US6040214A (en) | 1998-02-19 | 2000-03-21 | International Business Machines Corporation | Method for making field effect transistors having sub-lithographic gates with vertical side walls |
| KR100351899B1 (ko) * | 2000-04-03 | 2002-09-12 | 주식회사 하이닉스반도체 | 저저항 게이트 트랜지스터 및 그의 제조 방법 |
| US6271094B1 (en) * | 2000-02-14 | 2001-08-07 | International Business Machines Corporation | Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance |
| US6651226B2 (en) * | 2001-03-12 | 2003-11-18 | Agere Systems, Inc. | Process control using three dimensional reconstruction metrology |
| US6706402B2 (en) | 2001-07-25 | 2004-03-16 | Nantero, Inc. | Nanotube films and articles |
| US6806534B2 (en) * | 2003-01-14 | 2004-10-19 | International Business Machines Corporation | Damascene method for improved MOS transistor |
| US7045851B2 (en) | 2003-06-20 | 2006-05-16 | International Business Machines Corporation | Nonvolatile memory device using semiconductor nanocrystals and method of forming same |
| US20050221019A1 (en) * | 2004-04-02 | 2005-10-06 | Applied Materials, Inc. | Method of improving the uniformity of a patterned resist on a photomask |
| GB2413694A (en) * | 2004-04-30 | 2005-11-02 | Ims Nanofabrication Gmbh | Particle-beam exposure apparatus |
| JP2007101715A (ja) * | 2005-09-30 | 2007-04-19 | Fujifilm Corp | パターン形成方法及びそれに用いるレジスト組成物 |
| US7605081B2 (en) * | 2006-06-19 | 2009-10-20 | International Business Machines Corporation | Sub-lithographic feature patterning using self-aligned self-assembly polymers |
-
2006
- 2006-10-25 US US11/552,641 patent/US7384852B2/en active Active
-
2007
- 2007-08-13 CN CN2007101411858A patent/CN101170126B/zh not_active Expired - Fee Related
-
2008
- 2008-04-08 US US12/099,435 patent/US7786527B2/en not_active Expired - Fee Related
Patent Citations (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| CN1779903A (zh) * | 2004-10-20 | 2006-05-31 | 国际商业机器公司 | 氧化侧壁图像传递图形化方法 |
Also Published As
| Publication number | Publication date |
|---|---|
| US20080099845A1 (en) | 2008-05-01 |
| US20080179667A1 (en) | 2008-07-31 |
| CN101170126A (zh) | 2008-04-30 |
| US7384852B2 (en) | 2008-06-10 |
| US7786527B2 (en) | 2010-08-31 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| CN101170126B (zh) | 半导体结构及其制造方法 | |
| TWI752530B (zh) | 用於sram的非對稱閘極底切絕緣及其形成方法 | |
| CN101849282B (zh) | 具有改善的接触电阻的半导体结构 | |
| US7514339B2 (en) | Method for fabricating shallow trench isolation structures using diblock copolymer patterning | |
| US7625790B2 (en) | FinFET with sublithographic fin width | |
| TW202008436A (zh) | 使用單元隔離柱對主動奈米結構間的n-p空間之功函數金屬圖案化 | |
| US10263090B2 (en) | Semiconductor device and manufacturing method thereof | |
| US11315922B2 (en) | Fin cut to prevent replacement gate collapse on STI | |
| US7071047B1 (en) | Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions | |
| US20140117464A1 (en) | Fin-Last Replacement Metal Gate FinFET | |
| US20170117193A1 (en) | Semiconductor devices with sidewall spacers of equal thickness | |
| CN1653608A (zh) | 体半导体的鳍状fet器件及其形成方法 | |
| US9659824B2 (en) | Graphoepitaxy directed self-assembly process for semiconductor fin formation | |
| US6365466B1 (en) | Dual gate process using self-assembled molecular layer | |
| US8999791B2 (en) | Formation of semiconductor structures with variable gate lengths | |
| US9466534B1 (en) | Cointegration of directed self assembly and sidewall image transfer patterning for sublithographic patterning with improved design flexibility | |
| US20060177977A1 (en) | Method for patterning fins and gates in a FinFET device using trimmed hard-mask capped with imaging layer | |
| CN108885974A (zh) | 用于光刻边缘放置误差提前矫正的对齐节距四等分图案化 | |
| CN101202301A (zh) | 栅极导体结构及其形成方法 | |
| CN100524618C (zh) | 制造精细结构的无抗蚀剂光刻方法 | |
| US11695058B2 (en) | Method of expanding 3D device architectural designs for enhanced performance | |
| US20090206442A1 (en) | Method and structure for relieving transistor performance degradation due to shallow trench isolation induced stress | |
| CN116472614A (zh) | 具有纳米线芯的铁电场效应晶体管 | |
| CN101952947B (zh) | 自组装侧壁间隙壁 | |
| US9929250B1 (en) | Semiconductor device including optimized gate stack profile |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| C06 | Publication | ||
| PB01 | Publication | ||
| C10 | Entry into substantive examination | ||
| SE01 | Entry into force of request for substantive examination | ||
| C14 | Grant of patent or utility model | ||
| GR01 | Patent grant | ||
| TR01 | Transfer of patent right |
Effective date of registration: 20210617 Address after: ottawa Patentee after: Elpis technologies Address before: New York, USA Patentee before: International Business Machines Corp. |
|
| TR01 | Transfer of patent right | ||
| CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20110608 Termination date: 20210813 |
|
| CF01 | Termination of patent right due to non-payment of annual fee |