|
US9064892B2
(en)
|
2011-08-30 |
2015-06-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
|
|
US9634119B2
(en)
|
2011-08-30 |
2017-04-25 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor devices utilizing partially doped stressor film portions
|
|
US9466668B2
(en)
|
2013-02-08 |
2016-10-11 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Inducing localized strain in vertical nanowire transistors
|
|
US9368619B2
(en)
|
2013-02-08 |
2016-06-14 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for inducing strain in vertical semiconductor columns
|
|
US9478624B2
(en)
|
2013-05-10 |
2016-10-25 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Self-aligned wrapped-around structure
|
|
US10340382B2
(en)
|
2014-01-24 |
2019-07-02 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Embedded source or drain region of transistor with downward tapered region under facet region
|
|
US10622261B2
(en)
|
2014-03-13 |
2020-04-14 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET devices with unique shape and the fabrication thereof
|
|
US10170375B2
(en)
|
2014-03-13 |
2019-01-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET devices with unique fin shape and the fabrication thereof
|
|
US10032764B2
(en)
|
2014-04-23 |
2018-07-24 |
Taiwan Semiconductor Manufacturing Company Ltd. |
FinFET with ESD protection
|
|
US9685534B2
(en)
|
2014-07-16 |
2017-06-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for semiconductor device fabrication
|
|
US10804395B2
(en)
|
2014-08-22 |
2020-10-13 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Metal-insensitive epitaxy formation
|
|
US11935951B2
(en)
|
2014-08-22 |
2024-03-19 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Metal-insensitive epitaxy formation
|
|
US10263108B2
(en)
|
2014-08-22 |
2019-04-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Metal-insensitive epitaxy formation
|
|
US11495685B2
(en)
|
2014-08-22 |
2022-11-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Metal-insensitive epitaxy formation
|
|
US10854736B2
(en)
|
2014-08-29 |
2020-12-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for forming semiconductor structure with contact over source/drain structure
|
|
US11600716B2
(en)
|
2014-08-29 |
2023-03-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for forming semiconductor structure with contact over source/drain structure
|
|
US10361287B2
(en)
|
2014-10-15 |
2019-07-23 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Method for manufacturing semiconductor device structure
|
|
US9882029B2
(en)
|
2014-12-22 |
2018-01-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including Fin-FET and manufacturing method thereof
|
|
US9780214B2
(en)
|
2014-12-22 |
2017-10-03 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including Fin- FET and manufacturing method thereof
|
|
US10269935B2
(en)
|
2014-12-22 |
2019-04-23 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including Fin-PET and manufacturing method thereof
|
|
US9515071B2
(en)
|
2014-12-24 |
2016-12-06 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Asymmetric source/drain depths
|
|
US9876114B2
(en)
|
2014-12-30 |
2018-01-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Structure and method for 3D FinFET metal gate
|
|
US9991384B2
(en)
|
2015-01-15 |
2018-06-05 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including fin structures and manufacturing method thereof
|
|
US10937906B2
(en)
|
2015-01-15 |
2021-03-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including fin structures and manufacturing method thereof
|
|
US9391078B1
(en)
|
2015-01-16 |
2016-07-12 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method and structure for finFET devices
|
|
US9524907B2
(en)
|
2015-01-29 |
2016-12-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Top metal pads as local interconnectors of vertical transistors
|
|
US9911855B2
(en)
|
2015-01-29 |
2018-03-06 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Top metal pads as local interconnectors of vertical transistors
|
|
US9349859B1
(en)
|
2015-01-29 |
2016-05-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Top metal pads as local interconnectors of vertical transistors
|
|
US9837536B2
(en)
|
2015-02-13 |
2017-12-05 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including fin structures and manufacturing method therof
|
|
US9406680B1
(en)
|
2015-02-13 |
2016-08-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including fin structures and manufacturing method thereof
|
|
US10777641B2
(en)
|
2015-02-26 |
2020-09-15 |
Taiwan Semiconductor Manufacturing Company Ltd |
LDD-free semiconductor structure and manufacturing method of the same
|
|
US10818780B2
(en)
|
2015-03-13 |
2020-10-27 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
|
|
US9564493B2
(en)
|
2015-03-13 |
2017-02-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
|
|
US10461179B2
(en)
|
2015-03-13 |
2019-10-29 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
|
|
US11302804B2
(en)
|
2015-03-13 |
2022-04-12 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
|
|
US9929257B2
(en)
|
2015-03-13 |
2018-03-27 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
|
|
US12148816B2
(en)
|
2015-03-13 |
2024-11-19 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
|
|
US9716090B2
(en)
|
2015-03-16 |
2017-07-25 |
Taiwan Semiconductor Manufacturing Company Ltd. |
FinFet structure
|
|
US9570557B2
(en)
|
2015-04-29 |
2017-02-14 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Tilt implantation for STI formation in FinFET structures
|
|
US10872893B2
(en)
|
2015-05-15 |
2020-12-22 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Dual nitride stressor for semiconductor device and method of manufacturing
|
|
US10483262B2
(en)
|
2015-05-15 |
2019-11-19 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Dual nitride stressor for semiconductor device and method of manufacturing
|
|
US9530889B2
(en)
|
2015-05-21 |
2016-12-27 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US10720496B2
(en)
|
2015-06-15 |
2020-07-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET structures and methods of forming the same
|
|
US10134847B2
(en)
|
2015-06-15 |
2018-11-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET structures and methods of forming the same
|
|
US11362004B2
(en)
|
2015-06-15 |
2022-06-14 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET devices and methods of forming
|
|
US9449975B1
(en)
|
2015-06-15 |
2016-09-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET devices and methods of forming
|
|
US10163726B2
(en)
|
2015-06-15 |
2018-12-25 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET devices and methods of forming
|
|
US10658247B2
(en)
|
2015-06-15 |
2020-05-19 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET devices and methods of forming
|
|
US9887137B2
(en)
|
2015-06-15 |
2018-02-06 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET devices and methods of forming
|
|
US9647071B2
(en)
|
2015-06-15 |
2017-05-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FINFET structures and methods of forming the same
|
|
US10312139B2
(en)
|
2015-06-26 |
2019-06-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Interconnect structure having an etch stop layer over conductive lines
|
|
US11569124B2
(en)
|
2015-06-26 |
2023-01-31 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Interconnect structure having an etch stop layer over conductive lines
|
|
US9685368B2
(en)
|
2015-06-26 |
2017-06-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Interconnect structure having an etch stop layer over conductive lines
|
|
US10861742B2
(en)
|
2015-06-26 |
2020-12-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Interconnect structure having an etch stop layer over conductive lines
|
|
US9818872B2
(en)
|
2015-06-30 |
2017-11-14 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Multi-gate device and method of fabrication thereof
|
|
US10516049B2
(en)
|
2015-06-30 |
2019-12-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Multi-gate device and method of fabrication thereof
|
|
US11942548B2
(en)
|
2015-06-30 |
2024-03-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Multi-gate device and method of fabrication thereof
|
|
US9583623B2
(en)
|
2015-07-31 |
2017-02-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof
|
|
US9997600B2
(en)
|
2015-07-31 |
2018-06-12 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including fin structures disposed over buffer structures
|
|
US10134844B2
(en)
|
2015-07-31 |
2018-11-20 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including fin structures disposed over buffer structures
|
|
US10164096B2
(en)
|
2015-08-21 |
2018-12-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US12009427B2
(en)
|
2015-08-21 |
2024-06-11 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US9666581B2
(en)
|
2015-08-21 |
2017-05-30 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET with source/drain structure and method of fabrication thereof
|
|
US10749029B2
(en)
|
2015-08-21 |
2020-08-18 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US11489074B2
(en)
|
2015-08-21 |
2022-11-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US11024718B2
(en)
|
2015-09-15 |
2021-06-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and method of forming the same
|
|
US10050148B2
(en)
|
2015-09-15 |
2018-08-14 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method of forming the same
|
|
US9647122B2
(en)
|
2015-09-15 |
2017-05-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method of forming the same
|
|
US10505001B2
(en)
|
2015-09-15 |
2019-12-10 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and method of forming the same
|
|
US12237380B2
(en)
|
2015-09-15 |
2025-02-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and method of forming the same
|
|
US11749724B2
(en)
|
2015-09-15 |
2023-09-05 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and method of forming the same
|
|
US10032873B2
(en)
|
2015-09-15 |
2018-07-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method of forming the same
|
|
US9680017B2
(en)
|
2015-09-16 |
2017-06-13 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including Fin FET and manufacturing method thereof
|
|
US10068992B2
(en)
|
2015-09-16 |
2018-09-04 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device including fin FET and manufacturing method thereof
|
|
US10121858B2
(en)
|
2015-10-30 |
2018-11-06 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Elongated semiconductor structure planarization
|
|
US10861933B2
(en)
|
2015-10-30 |
2020-12-08 |
Taiwan Semiconductor Manufacturing Company., Ltd. |
Elongated semiconductor structure planarization
|
|
US9960273B2
(en)
|
2015-11-16 |
2018-05-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Integrated circuit structure with substrate isolation and un-doped channel
|
|
US10490552B2
(en)
|
2015-12-29 |
2019-11-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET device having flat-top epitaxial features and method of making the same
|
|
US11769771B2
(en)
|
2015-12-29 |
2023-09-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET device having flat-top epitaxial features and method of making the same
|
|
US11264452B2
(en)
|
2015-12-29 |
2022-03-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode
|
|
US11276693B2
(en)
|
2015-12-29 |
2022-03-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET device having flat-top epitaxial features and method of making the same
|
|
US10727229B2
(en)
|
2016-02-23 |
2020-07-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure and method for semiconductor device
|
|
US11031398B2
(en)
|
2016-02-23 |
2021-06-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure and method for semiconductor device
|
|
US9825036B2
(en)
|
2016-02-23 |
2017-11-21 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure and method for semiconductor device
|
|
US11289479B2
(en)
|
2016-03-07 |
2022-03-29 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Fin-type field effect transistor structure and manufacturing method thereof
|
|
US10629596B2
(en)
|
2016-03-07 |
2020-04-21 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Fin-type field effect transistor structure and manufacturing method thereof
|
|
US11631768B2
(en)
|
2016-03-25 |
2023-04-18 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and method of manufacturing thereof
|
|
US9748389B1
(en)
|
2016-03-25 |
2017-08-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for semiconductor device fabrication with improved source drain epitaxy
|
|
US10629736B2
(en)
|
2016-03-25 |
2020-04-21 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy
|
|
US10158017B2
(en)
|
2016-03-25 |
2018-12-18 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy
|
|
US10340383B2
(en)
|
2016-03-25 |
2019-07-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device having stressor layer
|
|
US11031498B2
(en)
|
2016-03-25 |
2021-06-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor structure with improved source drain epitaxy
|
|
TWI757272B
(en)
*
|
2016-03-25 |
2022-03-11 |
台灣積體電路製造股份有限公司 |
Semiconductor device and method of fabricating the same
|
|
US11710792B2
(en)
|
2016-03-25 |
2023-07-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor structure with improved source drain epitaxy
|
|
US10163898B2
(en)
|
2016-04-25 |
2018-12-25 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFETs and methods of forming FinFETs
|
|
US10515958B2
(en)
|
2016-04-25 |
2019-12-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFETs and methods of forming FinFETs
|
|
US10868005B2
(en)
|
2016-04-25 |
2020-12-15 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFETs and methods of forming finFETs
|
|
US12046661B2
(en)
|
2016-05-04 |
2024-07-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Fin-type field effect transistor
|
|
US10950710B2
(en)
|
2016-05-04 |
2021-03-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Fin-type field effect transistor
|
|
US9899382B2
(en)
|
2016-06-01 |
2018-02-20 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
|
|
US10008414B2
(en)
|
2016-06-28 |
2018-06-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
System and method for widening Fin widths for small pitch FinFET devices
|
|
US10361126B2
(en)
|
2016-06-28 |
2019-07-23 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
System and method for widening fin widths for small pitch FinFET devices
|
|
US11011427B2
(en)
|
2016-06-28 |
2021-05-18 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
System and method for widening fin widths for small pitch FinFET devices
|
|
US12142681B2
(en)
|
2016-06-30 |
2024-11-12 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device having a shaped epitaxial region with shaping section
|
|
US10115624B2
(en)
|
2016-06-30 |
2018-10-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of semiconductor integrated circuit fabrication
|
|
US11069810B2
(en)
|
2016-06-30 |
2021-07-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device having a shaped epitaxial region
|
|
US10164098B2
(en)
|
2016-06-30 |
2018-12-25 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of manufacturing semiconductor device
|
|
US10685867B2
(en)
|
2016-06-30 |
2020-06-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of semiconductor integrated circuit fabrication
|
|
US10505042B2
(en)
|
2016-06-30 |
2019-12-10 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device having a shaped epitaxial region
|
|
US9640540B1
(en)
|
2016-07-19 |
2017-05-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure and method for an SRAM circuit
|
|
US10068774B2
(en)
|
2016-07-28 |
2018-09-04 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US9870926B1
(en)
|
2016-07-28 |
2018-01-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US10032877B2
(en)
|
2016-08-02 |
2018-07-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET and method of forming same
|
|
US10269908B2
(en)
|
2016-08-02 |
2019-04-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET and method of forming same
|
|
US11670635B2
(en)
|
2016-08-03 |
2023-06-06 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10515960B2
(en)
|
2016-08-03 |
2019-12-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10943901B2
(en)
|
2016-08-03 |
2021-03-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10157918B2
(en)
|
2016-08-03 |
2018-12-18 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10483170B2
(en)
|
2016-09-30 |
2019-11-19 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of semiconductor integrated circuit fabrication
|
|
US10008418B2
(en)
|
2016-09-30 |
2018-06-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of semiconductor integrated circuit fabrication
|
|
US10861751B2
(en)
|
2016-09-30 |
2020-12-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of semiconductor integrated circuit fabrication
|
|
US10026840B2
(en)
|
2016-10-13 |
2018-07-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure of semiconductor device with source/drain structures
|
|
US10879396B2
(en)
|
2016-10-13 |
2020-12-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device with source/drain structures
|
|
US10636909B2
(en)
|
2016-10-13 |
2020-04-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Formation method of semiconductor device with source/drain structures
|
|
US10164100B2
(en)
|
2016-10-13 |
2018-12-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Formation method and structure semiconductor device with source/drain structures
|
|
US12402393B2
(en)
|
2016-10-24 |
2025-08-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET EPI channels having different heights on a stepped substrate
|
|
US10510618B2
(en)
|
2016-10-24 |
2019-12-17 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET EPI channels having different heights on a stepped substrate
|
|
US11545399B2
(en)
|
2016-10-24 |
2023-01-03 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET EPI channels having different heights on a stepped substrate
|
|
US11004842B2
(en)
|
2016-10-31 |
2021-05-11 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
System and method of fabricating ESD FinFET with improved metal landing in the drain
|
|
US10276559B2
(en)
|
2016-10-31 |
2019-04-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
System and method of fabricating ESD FinFET with improved metal landing in the drain
|
|
US9865589B1
(en)
|
2016-10-31 |
2018-01-09 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
System and method of fabricating ESD FinFET with improved metal landing in the drain
|
|
US10453837B2
(en)
|
2016-10-31 |
2019-10-22 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
System and method of fabricating ESD finFET with improved metal landing in the drain
|
|
US10872889B2
(en)
|
2016-11-17 |
2020-12-22 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor component and fabricating method thereof
|
|
US10529861B2
(en)
|
2016-11-18 |
2020-01-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET structures and methods of forming the same
|
|
US10879354B2
(en)
|
2016-11-28 |
2020-12-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and forming method thereof
|
|
US11049945B2
(en)
|
2016-11-28 |
2021-06-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device structure and method for forming the same
|
|
US11437516B2
(en)
|
2016-11-28 |
2022-09-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Mechanisms for growing epitaxy structure of finFET device
|
|
US11949015B2
(en)
|
2016-11-28 |
2024-04-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Mechanisms for growing epitaxy structure of finFET device
|
|
US10276677B2
(en)
|
2016-11-28 |
2019-04-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device structure and method for forming the same
|
|
US11600715B2
(en)
|
2016-11-29 |
2023-03-07 |
Taiwan Semiconductor Manufacturing Company. Ltd. |
FETs and methods of forming FETs
|
|
US11791410B2
(en)
|
2016-11-29 |
2023-10-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US11854897B2
(en)
|
2016-11-29 |
2023-12-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Asymmetric source/drain epitaxy
|
|
US10453943B2
(en)
|
2016-11-29 |
2019-10-22 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FETS and methods of forming FETS
|
|
US10438851B2
(en)
|
2016-11-29 |
2019-10-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Threshold voltage adjustment for a gate-all-around semiconductor structure
|
|
US11063149B2
(en)
|
2016-11-29 |
2021-07-13 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US11721760B2
(en)
|
2016-11-29 |
2023-08-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Dopant concentration boost in epitaxially formed material
|
|
US10833074B2
(en)
|
2016-11-29 |
2020-11-10 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US12308371B2
(en)
|
2016-11-29 |
2025-05-20 |
National Taiwan University |
Semiconductor device and manufacturing method thereof
|
|
US9812363B1
(en)
|
2016-11-29 |
2017-11-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and method of forming same
|
|
US11205713B2
(en)
|
2016-11-29 |
2021-12-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET having a non-faceted top surface portion for a source/drain region
|
|
US10522408B2
(en)
|
2016-11-29 |
2019-12-31 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and method of forming same
|
|
US10515951B2
(en)
|
2016-11-29 |
2019-12-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10950603B2
(en)
|
2016-11-29 |
2021-03-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10115808B2
(en)
|
2016-11-29 |
2018-10-30 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
finFET device and methods of forming
|
|
US12302626B2
(en)
|
2016-11-29 |
2025-05-13 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Asymmetric source/drain epitaxy
|
|
US11043423B2
(en)
|
2016-11-29 |
2021-06-22 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Threshold voltage adjustment for a gate-all-around semiconductor structure
|
|
US10163715B2
(en)
|
2016-11-29 |
2018-12-25 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and method of forming same
|
|
US9935173B1
(en)
|
2016-11-29 |
2018-04-03 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure and formation method of semiconductor device structure
|
|
US12402344B2
(en)
|
2016-11-29 |
2025-08-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FETS and methods of forming FETS
|
|
US9991165B1
(en)
|
2016-11-29 |
2018-06-05 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Asymmetric source/drain epitaxy
|
|
US10510888B2
(en)
|
2016-11-29 |
2019-12-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US11450757B2
(en)
|
2016-11-29 |
2022-09-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and methods of forming
|
|
US11037827B2
(en)
|
2016-11-29 |
2021-06-15 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Asymmetric source/drain epitaxy
|
|
US10290546B2
(en)
|
2016-11-29 |
2019-05-14 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Threshold voltage adjustment for a gate-all-around semiconductor structure
|
|
US10490661B2
(en)
|
2016-11-29 |
2019-11-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Dopant concentration boost in epitaxially formed material
|
|
US10770570B2
(en)
|
2016-11-29 |
2020-09-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and methods of forming
|
|
US10312145B2
(en)
|
2016-11-29 |
2019-06-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Asymmetric source/drain epitaxy
|
|
US9865595B1
(en)
|
2016-12-14 |
2018-01-09 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
|
|
US10103146B2
(en)
|
2016-12-14 |
2018-10-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
|
|
US10510762B2
(en)
|
2016-12-15 |
2019-12-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Source and drain formation technique for fin-like field effect transistor
|
|
US11037826B2
(en)
|
2016-12-15 |
2021-06-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same
|
|
US12249640B2
(en)
|
2016-12-15 |
2025-03-11 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Conformal transfer doping method for Fin-like field effect transistor
|
|
US11476352B2
(en)
|
2016-12-15 |
2022-10-18 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Conformal transfer doping method for fin-like field effect transistor
|
|
US10276691B2
(en)
|
2016-12-15 |
2019-04-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Conformal transfer doping method for fin-like field effect transistor
|
|
US10049936B2
(en)
|
2016-12-15 |
2018-08-14 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
|
|
US12363993B2
(en)
|
2016-12-15 |
2025-07-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same
|
|
US10546784B2
(en)
|
2016-12-15 |
2020-01-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same
|
|
US10868151B2
(en)
|
2016-12-15 |
2020-12-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Conformal transfer doping method for fin-like field effect transistor
|
|
US11862713B2
(en)
|
2016-12-15 |
2024-01-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Conformal transfer doping method for fin-like field effect transistor
|
|
US11908742B2
(en)
|
2016-12-15 |
2024-02-20 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same
|
|
US10431670B2
(en)
|
2016-12-15 |
2019-10-01 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Source and drain formation technique for fin-like field effect transistor
|
|
US10483266B2
(en)
|
2017-04-20 |
2019-11-19 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Flexible merge scheme for source/drain epitaxy regions
|
|
US12408315B2
(en)
|
2017-04-20 |
2025-09-02 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Flexible merge scheme for source/drain epitaxy regions
|
|
US10985167B2
(en)
|
2017-04-20 |
2021-04-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Flexible merge scheme for source/drain epitaxy regions
|
|
US10529725B2
(en)
|
2017-04-20 |
2020-01-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Flexible merge scheme for source/drain epitaxy regions
|
|
US11856743B2
(en)
|
2017-04-20 |
2023-12-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Flexible merge scheme for source/drain epitaxy regions
|
|
US10475908B2
(en)
|
2017-04-25 |
2019-11-12 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and method of fabricating the same
|
|
US10861962B2
(en)
|
2017-04-25 |
2020-12-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and method of fabricating the same
|
|
US10522643B2
(en)
|
2017-04-26 |
2019-12-31 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
|
|
US10373879B2
(en)
|
2017-04-26 |
2019-08-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device with contracted isolation feature and formation method thereof
|
|
US12324222B2
(en)
|
2017-04-26 |
2025-06-03 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device with contracted isolation feature
|
|
US11251091B2
(en)
|
2017-04-26 |
2022-02-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device with contracted isolation feature
|
|
US11616131B2
(en)
|
2017-04-26 |
2023-03-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
|
|
US10811321B2
(en)
|
2017-04-26 |
2020-10-20 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device with contracted isolation feature
|
|
US11810827B2
(en)
|
2017-04-27 |
2023-11-07 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET device with different liners for PFET and NFET and method of fabricating thereof
|
|
US11031299B2
(en)
|
2017-04-27 |
2021-06-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET device with different liners for PFET and NFET and method of fabricating thereof
|
|
US10522417B2
(en)
|
2017-04-27 |
2019-12-31 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET device with different liners for PFET and NFET and method of fabricating thereof
|
|
US10811517B2
(en)
|
2017-04-28 |
2020-10-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Gate spacer structure of finFET device
|
|
US11810963B2
(en)
|
2017-04-28 |
2023-11-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Gate spacer structure of FinFET device
|
|
US11018242B2
(en)
|
2017-04-28 |
2021-05-25 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Gate spacer structure of FinFET device
|
|
US10522653B2
(en)
|
2017-04-28 |
2019-12-31 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Gate spacer structure of FinFET device
|
|
US10319832B2
(en)
|
2017-04-28 |
2019-06-11 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and method of forming same
|
|
US10043712B1
(en)
|
2017-05-17 |
2018-08-07 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor structure and manufacturing method thereof
|
|
US10672867B2
(en)
|
2017-05-31 |
2020-06-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor structure and manufacturing method thereof
|
|
US10147787B1
(en)
|
2017-05-31 |
2018-12-04 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor structure and manufacturing method thereof
|
|
US10516037B2
(en)
|
2017-06-30 |
2019-12-24 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of forming shaped source/drain epitaxial layers of a semiconductor device
|
|
US11695063B2
(en)
|
2017-06-30 |
2023-07-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of forming shaped source/drain epitaxial layers of a semiconductor device
|
|
US10347764B2
(en)
|
2017-06-30 |
2019-07-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
|
|
US11342228B2
(en)
|
2017-06-30 |
2022-05-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
|
|
US11776851B2
(en)
|
2017-06-30 |
2023-10-03 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
|
|
US10665700B2
(en)
|
2017-06-30 |
2020-05-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10734524B2
(en)
|
2017-06-30 |
2020-08-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
|
|
US11784242B2
(en)
|
2017-06-30 |
2023-10-10 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10269940B2
(en)
|
2017-06-30 |
2019-04-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US11056578B2
(en)
|
2017-06-30 |
2021-07-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of forming shaped source/drain epitaxial layers of a semiconductor device
|
|
US11387351B2
(en)
|
2017-06-30 |
2022-07-12 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US11610885B2
(en)
|
2017-07-18 |
2023-03-21 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for forming semiconductor structure
|
|
US10727226B2
(en)
|
2017-07-18 |
2020-07-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor structure and method for forming the same
|
|
US10529833B2
(en)
|
2017-08-28 |
2020-01-07 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Integrated circuit with a fin and gate structure and method making the same
|
|
US11355400B2
(en)
|
2017-08-31 |
2022-06-07 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
|
|
US10453753B2
(en)
|
2017-08-31 |
2019-10-22 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
|
|
US11610983B2
(en)
|
2017-08-31 |
2023-03-21 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Epitaxial features confined by dielectric fins and spacers
|
|
US10276718B2
(en)
|
2017-08-31 |
2019-04-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET having a relaxation prevention anchor
|
|
US10163904B1
(en)
|
2017-08-31 |
2018-12-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device structure
|
|
US10937895B2
(en)
|
2017-08-31 |
2021-03-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Epitaxial features confined by dielectric fins and spacers
|
|
US11164961B2
(en)
|
2017-08-31 |
2021-11-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Epitaxial features confined by dielectric fins and spacers
|
|
US10483378B2
(en)
|
2017-08-31 |
2019-11-19 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Epitaxial features confined by dielectric fins and spacers
|
|
US10522681B2
(en)
|
2017-08-31 |
2019-12-31 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of forming a FinFET having a relaxation prevention anchor
|
|
US10861749B2
(en)
|
2017-08-31 |
2020-12-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
|
|
US11177382B2
(en)
|
2017-08-31 |
2021-11-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET having a relaxation prevention anchor and related methods
|
|
US10505040B2
(en)
|
2017-09-25 |
2019-12-10 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of manufacturing a semiconductor device having a gate with ferroelectric layer
|
|
US11264483B2
(en)
|
2017-09-28 |
2022-03-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and method of manufacturing the same
|
|
US10516032B2
(en)
|
2017-09-28 |
2019-12-24 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of manufacturing a semiconductor device
|
|
US10153278B1
(en)
|
2017-09-28 |
2018-12-11 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Fin-type field effect transistor structure and manufacturing method thereof
|
|
US12389654B2
(en)
|
2017-09-28 |
2025-08-12 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device
|
|
US11830927B2
(en)
|
2017-09-28 |
2023-11-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of manufacturing semiconductor device
|
|
US10804367B2
(en)
|
2017-09-29 |
2020-10-13 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
|
|
US11664268B2
(en)
|
2017-09-29 |
2023-05-30 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Dummy fin structures and methods of forming same
|
|
US12376363B2
(en)
|
2017-09-29 |
2025-07-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
|
|
US11069558B2
(en)
|
2017-09-29 |
2021-07-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Dummy fin structures and methods of forming same
|
|
US11152481B2
(en)
|
2017-09-29 |
2021-10-19 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
|
|
US10510580B2
(en)
|
2017-09-29 |
2019-12-17 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Dummy fin structures and methods of forming same
|
|
US12154822B2
(en)
|
2017-09-29 |
2024-11-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Dummy fin structures and methods of forming same
|
|
US10276697B1
(en)
|
2017-10-27 |
2019-04-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance FET with improved reliability performance
|
|
US11322577B2
(en)
|
2017-10-27 |
2022-05-03 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance FET with improved reliability performance
|
|
US10734472B2
(en)
|
2017-10-27 |
2020-08-04 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance FET with improved reliability performance
|
|
US11276699B2
(en)
|
2017-10-30 |
2022-03-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Surface topography by forming spacer-like components
|
|
US11665897B2
(en)
|
2017-10-30 |
2023-05-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Improving surface topography by forming spacer-like components
|
|
US10847634B2
(en)
|
2017-10-30 |
2020-11-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Field effect transistor and method of forming the same
|
|
US10522557B2
(en)
|
2017-10-30 |
2019-12-31 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Surface topography by forming spacer-like components
|
|
US11049954B2
(en)
|
2017-10-31 |
2021-06-29 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Fin field-effect transistors and methods of forming the same
|
|
US10510868B2
(en)
|
2017-10-31 |
2019-12-17 |
Taiwan Semiconductor Manufacutring Co., Ltd. |
Fin Field-Effect Transistors and methods of forming the same
|
|
US10355105B2
(en)
|
2017-10-31 |
2019-07-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Fin field-effect transistors and methods of forming the same
|
|
US10163623B1
(en)
|
2017-10-31 |
2018-12-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Etch method with surface modification treatment for forming semiconductor structure
|
|
US11404413B2
(en)
|
2017-11-08 |
2022-08-02 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US11955484B2
(en)
|
2017-11-08 |
2024-04-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US12356647B2
(en)
|
2017-11-10 |
2025-07-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Epitaxial structures for fin-like field effect transistors
|
|
US11735648B2
(en)
|
2017-11-10 |
2023-08-22 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Epitaxial structures for fin-like field effect transistors
|
|
US11018245B2
(en)
|
2017-11-10 |
2021-05-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Epitaxial structures for fin-like field effect transistors
|
|
US10680084B2
(en)
|
2017-11-10 |
2020-06-09 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Epitaxial structures for fin-like field effect transistors
|
|
US10840358B2
(en)
|
2017-11-15 |
2020-11-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for manufacturing semiconductor structure with source/drain structure having modified shape
|
|
US11569383B2
(en)
|
2017-11-15 |
2023-01-31 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of forming source/drain epitaxial stacks
|
|
US12057343B2
(en)
|
2017-11-15 |
2024-08-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET devices with embedded air gaps and the fabrication thereof
|
|
US11043408B2
(en)
|
2017-11-15 |
2021-06-22 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of forming FinFET devices with embedded air gaps
|
|
US11973127B2
(en)
|
2017-11-15 |
2024-04-30 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor structure with source/drain structure having modified shape
|
|
US12021142B2
(en)
|
2017-11-15 |
2024-06-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of forming source/drain epitaxial stacks
|
|
US10366915B2
(en)
|
2017-11-15 |
2019-07-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET devices with embedded air gaps and the fabrication thereof
|
|
US10510619B2
(en)
|
2017-11-17 |
2019-12-17 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Semiconductor structure and method for manufacturing the same
|
|
US11810825B2
(en)
|
2017-11-22 |
2023-11-07 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Methods of forming epitaxial structures in fin-like field effect transistors
|
|
US11107735B2
(en)
|
2017-11-22 |
2021-08-31 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Methods of forming epitaxial structures in fin-like field effect transistors
|
|
US10497628B2
(en)
|
2017-11-22 |
2019-12-03 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Methods of forming epitaxial structures in fin-like field effect transistors
|
|
US12068204B2
(en)
|
2017-11-22 |
2024-08-20 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Methods of forming epitaxial structures in fin-like field effect transistors
|
|
US10971493B2
(en)
|
2017-11-27 |
2021-04-06 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Integrated circuit device with high mobility and system of forming the integrated circuit
|
|
US10840154B2
(en)
|
2017-11-28 |
2020-11-17 |
Taiwan Semiconductor Manufacturing Co.. Ltd. |
Method for forming semiconductor structure with high aspect ratio
|
|
US11823960B2
(en)
|
2017-11-28 |
2023-11-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for forming semiconductor structure with high aspect ratio
|
|
US12369390B2
(en)
|
2017-11-28 |
2025-07-22 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for forming semiconductor structure with high aspect ratio
|
|
US10804378B2
(en)
|
2017-11-29 |
2020-10-13 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for semiconductor device fabrication with improved epitaxial source/drain proximity control
|
|
US11532728B2
(en)
|
2017-11-29 |
2022-12-20 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method semiconductor device fabrication with improved epitaxial source/drain proximity control
|
|
US10510874B2
(en)
*
|
2017-11-30 |
2019-12-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device
|
|
US11522074B2
(en)
*
|
2017-11-30 |
2022-12-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US11031501B2
(en)
|
2017-11-30 |
2021-06-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Isolation structure having different distances to adjacent FinFET devices
|
|
US10868149B2
(en)
|
2017-11-30 |
2020-12-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Source and drain surface treatment for multi-gate field effect transistors
|
|
US10510894B2
(en)
|
2017-11-30 |
2019-12-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Isolation structure having different distances to adjacent FinFET devices
|
|
US11239072B2
(en)
|
2017-11-30 |
2022-02-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Cut metal gate process for reducing transistor spacing
|
|
US12374542B2
(en)
|
2017-11-30 |
2025-07-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Cut metal gate process for reducing transistor spacing
|
|
US10276692B1
(en)
|
2017-11-30 |
2019-04-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Fin diode structure and methods thereof
|
|
US12362224B2
(en)
|
2017-11-30 |
2025-07-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US20190165127A1
(en)
*
|
2017-11-30 |
2019-05-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device
|
|
US10319581B1
(en)
|
2017-11-30 |
2019-06-11 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Cut metal gate process for reducing transistor spacing
|
|
US10910483B2
(en)
|
2017-11-30 |
2021-02-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Fin diode structure and methods thereof
|
|
US10446669B2
(en)
|
2017-11-30 |
2019-10-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Source and drain surface treatment for multi-gate field effect transistors
|
|
US11721544B2
(en)
|
2017-11-30 |
2023-08-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Cut metal gate process for reducing transistor spacing
|
|
US10950714B2
(en)
*
|
2017-11-30 |
2021-03-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
|
US11699758B2
(en)
|
2017-11-30 |
2023-07-11 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Isolation structure having different distances to adjacent FinFET devices
|
|
US10651030B2
(en)
|
2017-11-30 |
2020-05-12 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Cut metal gate process for reducing transistor spacing
|
|
US10461171B2
(en)
|
2018-01-12 |
2019-10-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure and formation method of semiconductor device with metal gate stacks
|
|
US12166105B2
(en)
|
2018-01-12 |
2024-12-10 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device structure with metal gate stacks
|
|
US11201230B2
(en)
|
2018-01-12 |
2021-12-14 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device structure with metal gate stacks
|
|
US11830926B2
(en)
|
2018-01-12 |
2023-11-28 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device structure with metal gate stacks
|
|
US11495674B2
(en)
|
2018-02-28 |
2022-11-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Forming epitaxial structures in fin field effect transistors
|
|
US10522656B2
(en)
|
2018-02-28 |
2019-12-31 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Forming epitaxial structures in fin field effect transistors
|
|
US10510776B2
(en)
|
2018-03-29 |
2019-12-17 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Semiconductor device with common active area and method for manufacturing the same
|
|
US11495606B2
(en)
|
2018-03-30 |
2022-11-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET having non-merging epitaxially grown source/drains
|
|
US10854615B2
(en)
|
2018-03-30 |
2020-12-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET having non-merging epitaxially grown source/drains
|
|
US10522546B2
(en)
|
2018-04-20 |
2019-12-31 |
Taiwan Semiconductor Manufacturing Co., Ltd |
FinFET devices with dummy fins having multiple dielectric layers
|
|
US11270994B2
(en)
|
2018-04-20 |
2022-03-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Gate structure, fin field-effect transistor, and method of manufacturing fin-field effect transistor
|
|
US11270996B2
(en)
|
2018-04-20 |
2022-03-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET devices with dummy fins having multiple dielectric layers
|
|
US11855094B2
(en)
|
2018-04-20 |
2023-12-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET devices with dummy fins having multiple dielectric layers
|
|
US10515858B1
(en)
|
2018-05-30 |
2019-12-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US11315837B2
(en)
|
2018-05-30 |
2022-04-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10269655B1
(en)
|
2018-05-30 |
2019-04-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10770359B2
(en)
|
2018-05-30 |
2020-09-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method
|
|
US10644125B2
(en)
|
2018-06-14 |
2020-05-05 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Metal gates and manufacturing methods thereof
|
|
US11404555B2
(en)
|
2018-06-14 |
2022-08-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Metal gates and manufacturing methods thereof
|
|
US11581436B2
(en)
|
2018-06-27 |
2023-02-14 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance transistor with a diffusion blocking layer
|
|
US11302535B2
(en)
|
2018-06-27 |
2022-04-12 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Performing annealing process to improve fin quality of a FinFET semiconductor
|
|
US12272751B2
(en)
|
2018-06-27 |
2025-04-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance transistor with a diffusion blocking layer
|
|
US10861973B2
(en)
|
2018-06-27 |
2020-12-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance transistor with a diffusion blocking layer
|
|
US12142490B2
(en)
|
2018-06-27 |
2024-11-12 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Performing annealing process to improve Fin quality of a FinFET semiconductor
|
|
US11728373B2
(en)
|
2018-06-28 |
2023-08-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
High density capacitor implemented using FinFET
|
|
US11557660B2
(en)
|
2018-06-28 |
2023-01-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method and device for forming cut-metal-gate feature
|
|
US10790352B2
(en)
|
2018-06-28 |
2020-09-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
High density capacitor implemented using FinFET
|
|
US10388771B1
(en)
|
2018-06-28 |
2019-08-20 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method and device for forming cut-metal-gate feature
|
|
US10950713B2
(en)
|
2018-06-28 |
2021-03-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method and device for forming cut-metal-gate feature
|
|
US10840375B2
(en)
|
2018-06-29 |
2020-11-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Integrated circuits with channel-strain liner
|
|
US11855213B2
(en)
|
2018-06-29 |
2023-12-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and method of forming same
|
|
US11430890B2
(en)
|
2018-06-29 |
2022-08-30 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Integrated circuits with channel-strain liner
|
|
US11296225B2
(en)
|
2018-06-29 |
2022-04-05 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and method of forming same
|
|
US12302611B2
(en)
|
2018-07-16 |
2025-05-13 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET structure with a composite stress layer and reduced fin buckling
|
|
US11411107B2
(en)
|
2018-07-16 |
2022-08-09 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET structure and method with reduced fin buckling
|
|
US10861969B2
(en)
|
2018-07-16 |
2020-12-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of forming FinFET structure with reduced Fin buckling
|
|
US10535667B1
(en)
|
2018-07-30 |
2020-01-14 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Memory array and semiconductor chip
|
|
US12324224B2
(en)
|
2018-07-31 |
2025-06-03 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of fabricating Fin-type field-effect transistor device having substrate with heavy doped and light doped regions
|
|
US10886226B2
(en)
|
2018-07-31 |
2021-01-05 |
Taiwan Semiconductor Manufacturing Co, Ltd. |
Conductive contact having staircase barrier layers
|
|
US11195760B2
(en)
|
2018-07-31 |
2021-12-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Fin-type field-effect transistor device having substrate with heavy doped and light doped regions, and method of fabricating the same
|
|
US11929328B2
(en)
|
2018-07-31 |
2024-03-12 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Conductive contact having barrier layers with different depths
|
|
US10629490B2
(en)
|
2018-07-31 |
2020-04-21 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Fin-type field-effect transistor device and method of fabricating the same
|
|
US11792971B2
(en)
|
2018-07-31 |
2023-10-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET SRAM cells with dielectric fins
|
|
US11721589B2
(en)
|
2018-07-31 |
2023-08-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Fin-type field-effect transistor device having substrate with heavy doped and light doped regions, and method of fabricating the same
|
|
US10879393B2
(en)
|
2018-08-14 |
2020-12-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Methods of fabricating semiconductor devices having gate structure with bent sidewalls
|
|
US10916659B2
(en)
|
2018-09-18 |
2021-02-09 |
International Business Machines Corporation |
Asymmetric threshold voltage FinFET device by partial channel doping variation
|
|
US12266709B2
(en)
|
2018-09-19 |
2025-04-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Selective dual silicide formation using a maskless fabrication process flow
|
|
US11749682B2
(en)
|
2018-09-19 |
2023-09-05 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Selective dual silicide formation using a maskless fabrication process flow
|
|
US10998241B2
(en)
|
2018-09-19 |
2021-05-04 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Selective dual silicide formation using a maskless fabrication process flow
|
|
US11437385B2
(en)
|
2018-09-24 |
2022-09-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET SRAM cells with reduced fin pitch
|
|
US12363879B2
(en)
|
2018-09-24 |
2025-07-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
FinFET SRAM cells with reduced fin pitch
|
|
US11171209B2
(en)
|
2018-09-27 |
2021-11-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method of manufacture
|
|
US11784235B2
(en)
|
2018-09-27 |
2023-10-10 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
|
|
US12002854B2
(en)
|
2018-09-27 |
2024-06-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method of manufacture
|
|
US11349008B2
(en)
|
2018-09-27 |
2022-05-31 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
|
|
US11094597B2
(en)
|
2018-09-28 |
2021-08-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure and formation method of semiconductor device with fin structures
|
|
US11942375B2
(en)
|
2018-09-28 |
2024-03-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure and formation method of semiconductor device with fin structures
|
|
US11824101B2
(en)
|
2018-09-28 |
2023-11-21 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
High aspect ratio gate structure formation
|
|
US11289583B2
(en)
|
2018-09-28 |
2022-03-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
High aspect ratio gate structure formation
|
|
US11961897B2
(en)
|
2018-09-28 |
2024-04-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance transistor with external ferroelectric structure
|
|
US11222958B2
(en)
|
2018-09-28 |
2022-01-11 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Negative capacitance transistor with external ferroelectric structure
|
|
US10971605B2
(en)
|
2018-10-22 |
2021-04-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Dummy dielectric fin design for parasitic capacitance reduction
|
|
US11575027B2
(en)
|
2018-10-22 |
2023-02-07 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Dummy dielectric fin design for parasitic capacitance reduction
|
|
US11626504B2
(en)
|
2018-10-26 |
2023-04-11 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Fin field effect transistor (FinFET) device structure
|
|
US10833167B2
(en)
|
2018-10-26 |
2020-11-10 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Fin field effect transistor (finFET) device structure and method for forming the same
|
|
US11626518B2
(en)
|
2018-10-31 |
2023-04-11 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and methods of forming the same
|
|
US10950730B2
(en)
|
2018-10-31 |
2021-03-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Merged source/drain features
|
|
US11961912B2
(en)
|
2018-10-31 |
2024-04-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Merged source/drain features
|
|
US12249650B2
(en)
|
2018-10-31 |
2025-03-11 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and methods of forming the same
|
|
US10868183B2
(en)
|
2018-10-31 |
2020-12-15 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFET device and methods of forming the same
|
|
US11355641B2
(en)
|
2018-10-31 |
2022-06-07 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Merged source/drain features
|
|
US10868185B2
(en)
|
2018-11-27 |
2020-12-15 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Semiconductor structure and method of forming the same
|
|
US11158728B2
(en)
|
2019-05-30 |
2021-10-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Multi-gate device and related methods
|
|
US10879379B2
(en)
|
2019-05-30 |
2020-12-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Multi-gate device and related methods
|
|
US11837662B2
(en)
|
2019-06-14 |
2023-12-05 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Devices with strained isolation features
|
|
US10868174B1
(en)
|
2019-06-14 |
2020-12-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Devices with strained isolation features
|
|
US11133223B2
(en)
|
2019-07-16 |
2021-09-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Selective epitaxy
|
|
US11282934B2
(en)
|
2019-07-26 |
2022-03-22 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structure for metal gate electrode and method of fabrication
|
|
US11961891B2
(en)
|
2019-07-26 |
2024-04-16 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Structure for metal gate electrode and method of fabrication
|
|
US11824104B2
(en)
|
2019-08-20 |
2023-11-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of gap filling for semiconductor device
|
|
US10985266B2
(en)
|
2019-08-20 |
2021-04-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of gap filling for semiconductor device
|
|
US11133386B2
(en)
|
2019-08-27 |
2021-09-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Multi-layer fin structure
|
|
US12199170B2
(en)
|
2019-08-30 |
2025-01-14 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of manufacturing a multi-gate device having a semiconductor seed layer embedded in an isolation layer
|
|
US11489063B2
(en)
|
2019-08-30 |
2022-11-01 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Method of manufacturing a source/drain feature in a multi-gate semiconductor structure
|
|
US12009408B2
(en)
|
2019-08-30 |
2024-06-11 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Multi-gate devices having a semiconductor layer between an inner spacer and an epitaxial feature
|
|
US11342231B2
(en)
|
2019-09-17 |
2022-05-24 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Integrated circuit device with low threshold voltage
|
|
US12272604B2
(en)
|
2019-09-17 |
2025-04-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Integrated circuit device with low threshold voltage
|
|
US11621350B2
(en)
|
2019-09-17 |
2023-04-04 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Transistor structure and method with strain effect
|
|
US11094821B2
(en)
|
2019-09-17 |
2021-08-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Transistor structure and method with strain effect
|
|
US11901241B2
(en)
|
2019-09-17 |
2024-02-13 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Integrated circuit device with low threshold voltage
|
|
US11646311B2
(en)
|
2019-09-23 |
2023-05-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method of fabricating the same
|
|
US11164868B2
(en)
|
2019-09-24 |
2021-11-02 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device
|
|
US11532732B2
(en)
|
2019-09-26 |
2022-12-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Multi-gate device and method of fabrication thereof
|
|
US12021025B2
(en)
|
2019-09-26 |
2024-06-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Contact features and methods of fabricating the same in semiconductor devices
|
|
US11621224B2
(en)
|
2019-09-26 |
2023-04-04 |
Taiwan Semiconductor Manufacturing Co. Ltd. |
Contact features and methods of fabricating the same in semiconductor devices
|
|
US12170245B2
(en)
|
2019-09-26 |
2024-12-17 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Contact features and methods of fabricating the same in Fin field-effect transistors (FinFETs)
|
|
US11670551B2
(en)
|
2019-09-26 |
2023-06-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Interface trap charge density reduction
|
|
US11728405B2
(en)
|
2019-09-28 |
2023-08-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Stress-inducing silicon liner in semiconductor devices
|
|
US11018257B2
(en)
|
2019-10-18 |
2021-05-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device structure having a plurality of threshold voltages and method of forming the same
|
|
US11837602B2
(en)
|
2019-10-18 |
2023-12-05 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device structure having a plurality of threshold voltages and method of forming the same
|
|
US11417748B2
(en)
|
2019-10-30 |
2022-08-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method of fabricating a semiconductor device
|
|
US12002756B2
(en)
|
2020-01-17 |
2024-06-04 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Butted contacts and methods of fabricating the same in semiconductor devices
|
|
US11244899B2
(en)
|
2020-01-17 |
2022-02-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Butted contacts and methods of fabricating the same in semiconductor devices
|
|
US11610822B2
(en)
|
2020-01-31 |
2023-03-21 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Structures for tuning threshold voltage
|
|
US12205850B2
(en)
|
2020-01-31 |
2025-01-21 |
Taiwan Semiconductor Manufacturing Company, Ltd |
Gate structures for tuning threshold voltage
|
|
US11862712B2
(en)
|
2020-02-19 |
2024-01-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Methods of semiconductor device fabrication including growing epitaxial features using different carrier gases
|
|
US12408363B2
(en)
|
2020-02-19 |
2025-09-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device with phosphorus-doped epitaxial features
|
|
US12402402B2
(en)
|
2020-02-19 |
2025-08-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Transistor gate profile optimization
|
|
US11557590B2
(en)
|
2020-02-19 |
2023-01-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Transistor gate profile optimization
|
|
US11777031B2
(en)
|
2020-02-24 |
2023-10-03 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Semiconductor structure and manufacturing method for the semiconductor structure
|
|
US11257950B2
(en)
|
2020-02-24 |
2022-02-22 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Semiconductor structure and manufacturing method for the semiconductor structure
|
|
US11715781B2
(en)
|
2020-02-26 |
2023-08-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor devices with improved capacitors
|
|
US12148811B2
(en)
|
2020-02-26 |
2024-11-19 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of fabricating a semiconductor device having capacitor material
|
|
US11769820B2
(en)
|
2020-02-27 |
2023-09-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Methods of manufacturing a FinFET by forming a hollow area in the epitaxial source/drain region
|
|
US11515211B2
(en)
|
2020-02-27 |
2022-11-29 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Cut EPI process and structures
|
|
US12211749B2
(en)
|
2020-02-27 |
2025-01-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Cut EPI process and structures
|
|
US11799030B2
(en)
|
2020-02-27 |
2023-10-24 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor devices with embedded ferroelectric field effect transistors
|
|
US11855220B2
(en)
|
2020-02-27 |
2023-12-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method and structure for air gap inner spacer in gate-all-around devices
|
|
US11374128B2
(en)
|
2020-02-27 |
2022-06-28 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method and structure for air gap inner spacer in gate-all-around devices
|
|
US11404570B2
(en)
|
2020-02-27 |
2022-08-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor devices with embedded ferroelectric field effect transistors
|
|
US12426297B2
(en)
|
2020-02-27 |
2025-09-23 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method and structure for air gap inner spacer in gate-all-around devices
|
|
US12022643B2
(en)
|
2020-03-31 |
2024-06-25 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Multi-layer high-k gate dielectric structure
|
|
US12171091B2
(en)
|
2020-03-31 |
2024-12-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Multi-layer high-k gate dielectric structure
|
|
US12041760B2
(en)
|
2020-03-31 |
2024-07-16 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Multi-layer high-k gate dielectric structure
|
|
US12034061B2
(en)
|
2020-04-01 |
2024-07-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for forming semiconductor structure
|
|
US11271096B2
(en)
|
2020-04-01 |
2022-03-08 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for forming fin field effect transistor device structure
|
|
US11848370B2
(en)
|
2020-04-01 |
2023-12-19 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Semiconductor device and manufacturing method for the semiconductor device
|
|
US11670692B2
(en)
|
2020-05-13 |
2023-06-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Gate-all-around devices having self-aligned capping between channel and backside power rail
|
|
US12107131B2
(en)
|
2020-05-13 |
2024-10-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Gate-all-around devices having self-aligned capping between channel and backside power rail
|
|
US11791218B2
(en)
|
2020-05-20 |
2023-10-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Dipole patterning for CMOS devices
|
|
US12027606B2
(en)
|
2020-05-29 |
2024-07-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor devices with air gate spacer and air gate cap
|
|
US11302798B2
(en)
|
2020-05-29 |
2022-04-12 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor devices with air gate spacer and air gate cap
|
|
US12324235B2
(en)
|
2020-06-12 |
2025-06-03 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method of forming the same
|
|
US11756962B2
(en)
|
2020-06-12 |
2023-09-12 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device
|
|
US11374006B2
(en)
|
2020-06-12 |
2022-06-28 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device and method of forming the same
|
|
US12300698B2
(en)
|
2020-06-30 |
2025-05-13 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Isolation structure for preventing unintentional merging of epitaxially grown source/drain
|
|
US11749683B2
(en)
|
2020-06-30 |
2023-09-05 |
Taiwan Semiconductor Manufacturing Co. Ltd. |
Isolation structure for preventing unintentional merging of epitaxially grown source/drain
|
|
US11315924B2
(en)
|
2020-06-30 |
2022-04-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Isolation structure for preventing unintentional merging of epitaxially grown source/drain
|
|
US12176349B2
(en)
|
2020-07-30 |
2024-12-24 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and method of manufacture
|
|
US11355587B2
(en)
|
2020-08-06 |
2022-06-07 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Source/drain EPI structure for device boost
|
|
US11728391B2
(en)
|
2020-08-07 |
2023-08-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
2d-channel transistor structure with source-drain engineering
|
|
US12218205B2
(en)
|
2020-08-07 |
2025-02-04 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
2D-channel transistor structure with source-drain engineering
|
|
US12046479B2
(en)
|
2020-08-13 |
2024-07-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Nitride-containing STI liner for SiGe channel
|
|
US12002766B2
(en)
|
2020-08-18 |
2024-06-04 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Semiconductor structure having isolations between fins and comprising materials with different thermal expansion coefficients (CTE)
|
|
US12040191B2
(en)
|
2020-09-11 |
2024-07-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor structures and methods thereof
|
|
US11615962B2
(en)
|
2020-09-11 |
2023-03-28 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor structures and methods thereof
|
|
US11996334B2
(en)
|
2020-09-18 |
2024-05-28 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device fabrication methods and structures thereof
|
|
US11600533B2
(en)
|
2020-09-18 |
2023-03-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device fabrication methods and structures thereof
|
|
US12396248B2
(en)
|
2020-09-18 |
2025-08-19 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device fabrication methods and structures thereof
|
|
US11990525B2
(en)
|
2020-09-25 |
2024-05-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Isolation structure for isolating epitaxially grown source/drain regions and method of fabrication thereof
|
|
US11349002B2
(en)
|
2020-09-25 |
2022-05-31 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof
|
|
US11521971B2
(en)
|
2020-11-13 |
2022-12-06 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Gate dielectric having a non-uniform thickness profile
|
|
US11784218B2
(en)
|
2021-01-08 |
2023-10-10 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Gate air spacer protection during source/drain via hole etching
|
|
US11527622B2
(en)
|
2021-01-08 |
2022-12-13 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Effective work function tuning via silicide induced interface dipole modulation for metal gates
|
|
US12382691B2
(en)
|
2021-01-08 |
2025-08-05 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Effective work function tuning via silicide induced interface dipole modulation for metal gates
|
|
US11990522B2
(en)
|
2021-01-08 |
2024-05-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Effective work function tuning via silicide induced interface dipole modulation for metal gates
|
|
US12148797B2
(en)
|
2021-01-08 |
2024-11-19 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Gate air spacer protection during source/drain via hole etching
|
|
US11658216B2
(en)
|
2021-01-14 |
2023-05-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method and structure for metal gate boundary isolation
|
|
US12243919B2
(en)
|
2021-01-14 |
2025-03-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method and structure for metal gate boundary isolation
|
|
US12035532B2
(en)
|
2021-01-15 |
2024-07-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Memory array and memory device
|
|
US11532522B2
(en)
|
2021-01-19 |
2022-12-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Source/drain EPI structure for improving contact quality
|
|
US11996333B2
(en)
|
2021-01-19 |
2024-05-28 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Source/drain EPI structure for improving contact quality
|
|
US11626495B2
(en)
|
2021-02-26 |
2023-04-11 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance
|
|
US11855143B2
(en)
|
2021-02-26 |
2023-12-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor structures and methods thereof
|
|
US12317570B2
(en)
|
2021-02-26 |
2025-05-27 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance
|
|
US12087837B2
(en)
|
2021-03-05 |
2024-09-10 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device with backside contact and methods of forming such
|
|
US11876119B2
(en)
|
2021-03-05 |
2024-01-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device with gate isolation features and fabrication method of the same
|
|
US11688768B2
(en)
|
2021-03-05 |
2023-06-27 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Integrated circuit structure with source/drain spacers
|
|
US12183799B2
(en)
|
2021-03-05 |
2024-12-31 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device with gate isolation features and fabrication method of the same
|
|
US12142640B2
(en)
|
2021-03-31 |
2024-11-12 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor structures with multiple threshold voltage offerings and methods thereof
|
|
US12170327B2
(en)
|
2021-03-31 |
2024-12-17 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Semiconductor structure and manufacturing method of the same
|
|
US12080607B2
(en)
|
2021-04-08 |
2024-09-03 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Structure and method for FinFET device with source/drain modulation
|
|
US11658074B2
(en)
|
2021-04-08 |
2023-05-23 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Structure and method for FinFET device with source/drain modulation
|
|
US11784228B2
(en)
|
2021-04-09 |
2023-10-10 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Process and structure for source/drain contacts
|
|
US12300727B2
(en)
|
2021-04-09 |
2025-05-13 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Process and structure for source/drain contacts
|
|
US12294030B2
(en)
|
2021-05-13 |
2025-05-06 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
|
|
US11996484B2
(en)
|
2021-05-13 |
2024-05-28 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
|
|
US11688645B2
(en)
|
2021-06-17 |
2023-06-27 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Structure and formation method of semiconductor device with fin structures
|
|
US12342605B2
(en)
|
2021-06-17 |
2025-06-24 |
Taiwan Semiconductor Manufacturing Company, Ltd |
Semiconductor device with fin structures
|
|
US12426347B2
(en)
|
2021-07-09 |
2025-09-23 |
Taiwan Semiconductor Manufacturing Company Ltd. |
Multi-gate transistor channel height adjustment
|
|
US11942329B2
(en)
|
2021-07-23 |
2024-03-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Formation method of semiconductor device with dielectric isolation structure
|
|
US12278276B2
(en)
|
2021-08-30 |
2025-04-15 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Multi-channel devices and method with anti-punch through process
|
|
US12268023B2
(en)
|
2021-08-31 |
2025-04-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Devices with improved operational current and reduced leakage current
|
|
US12249539B2
(en)
|
2021-12-06 |
2025-03-11 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Multigate device structure with engineered cladding and method making the same
|
|
US12349446B2
(en)
|
2022-05-16 |
2025-07-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Structure and formation method of semiconductor device with epitaxial structures
|
|
US12283610B2
(en)
|
2022-05-17 |
2025-04-22 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Structure and formation method of semiconductor device with epitaxial structures
|
|
US12349447B2
(en)
|
2022-07-14 |
2025-07-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Structure and formation method of semiconductor device with dielectric fin
|
|
US12300719B2
(en)
|
2022-08-12 |
2025-05-13 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Structure and formation method of semiconductor device with isolation structure
|